top of page

必然的融合:矽光子與共同封裝光學如何重新定義 AI 資料中心的互連技術

  • 作家相片: Sonya
    Sonya
  • 9月26日
  • 讀畢需時 16 分鐘

已更新:10月3日

銅線時代的終結:1.6T 瓶頸的物理與經濟極限


基本的權衡困境

資料中心架構師長期以來面臨著一個根本性的權衡:在互連技術的選擇上,必須在傳輸距離、功耗和可靠性之間取得平衡 。傳統的銅纜鏈路因其高能源效率和可靠性,在短距離應用中一直是首選方案。然而,其物理特性也帶來了嚴峻的距離限制,被動式銅纜的有效傳輸距離通常小於 2 公尺,即使是主動式銅纜也僅能延伸至 5 到 7 公尺 。另一方面,傳統的光纖鏈路雖然能提供更長的傳輸距離,卻是以更高的功耗和較低的可靠性為代價 。   


長久以來,這種權衡是可以接受的。但在人工智慧(AI)工作負載的驅動下,資料中心內部(特別是 GPU 叢集之間)的頻寬需求正以指數級速度增長,這種平衡已被徹底打破。隨著網路速度從 400G、800G 邁向 1.6T 甚至 3.2T,現有的互連技術無論是銅纜還是傳統光纖,都面臨著無法擴展的物理瓶頸 。   


在 1.6T 速率下撞上物理高牆

當資料傳輸速率達到 1.6T 等級時,銅纜的局限性變得尤為突出。在兆位元(Terabit)速度下,銅纜不僅傳輸距離過短,其物理尺寸也變得笨重不堪,這使得在高密度的 AI 伺服器機櫃中進行部署變得不切實際 。與此同時,傳統的可插拔光學模組(Pluggable Optics)也面臨著自身的「功率牆」(Power Wall)問題。   


初步的業界數據顯示,一個 1.6T 的可插拔光收發器功耗高達 23 至 25 瓦 。NVIDIA 執行長黃仁勳對此提出了嚴峻的警告:一個現代 AI GPU 需要六個光收發器,每個功耗約 30 瓦。這表示若要建構一個百萬級 GPU 的大規模叢集,僅互連部分的功耗就將達到驚人的 180 百萬瓦(MW),這對於任何大型系統而言都是不可持續的能源負擔 。這清晰地表明,互連功耗已不再是次要考量,而是限制 AI 運算能力擴展的核心因素。能源已成為資料中心「最重要的商品」。   



因此,業界的焦點轉向了一個更為關鍵的指標:每位元傳輸能量(picojoules per bit, pJ/bit),目前,一個典型的 800G 光收發器功耗約為 15 瓦,換算下來的能量效率約為 18.75 pJ/bit,然而,為了實現可持續的擴展,資料中心工程師的目標是將此數值降至 5 pJ/bit 以下,甚至達到 1 pJ/bit 的水平。在現有的可插拔架構下,這一目標遙不可及 。   


SerDes 瓶頸與能源指令

問題的根源在於訊號從交換器特殊應用積體電路(ASIC)到機箱前面板光學模組的傳輸路徑,隨著每通道(lane)的訊號傳輸速率提升至 112 Gbps 甚至 224 Gbps,訊號在印刷電路板(PCB)上哪怕是幾十公分的銅走線上,都會產生嚴重的衰減和失真 。   


為了補償這些損耗並確保訊號完整性,系統必須在訊號路徑中加入高功耗的數位訊號處理器(DSP)和重定時器(retimer)。這些補償電路本身就是巨大的能源消耗者,在某些高速可插拔模組中,DSP 的功耗甚至佔到總功耗的 25% 至 30% 。這種架構導致了一個經濟上不合理的局面:資料 I/O 的功耗可能很快就會超過交換器核心晶片的運算功耗,這是一個明確的訊號,表明業界需要的不是對現有技術的漸進式改良,而是一場徹底的架構革命。   


分析至此,一個清晰的結論浮現:向光學互連的轉變不再是一個選項,而是由 AI 的龐大能源需求所驅動的經濟必然。這場變革的核心驅動力,已從單純追求更長的傳輸距離,轉變為對「高能效頻寬」(power-efficient bandwidth)的迫切需求。在 AI 工廠的競賽中,每一瓦特從互連系統中節省下來的電力,都可以被重新分配給 GPU 進行運算,這直接關係到資料中心的總體擁有成本(TCO)和最終的運算產出。


矽光子:在晶片上製造光路


為了解決上述的互連瓶頸,業界將目光投向了一項顛覆性技術:矽光子(Silicon Photonics, SiPh)。這項技術的核心思想是將光學元件的功能整合到標準的矽晶片上,從而創建出「光子積體電路」(Photonic Integrated Circuits, PIC)。   


矽光子技術入門

矽光子平台利用矽作為光學介質,來引導紅外光(通常是電信領域常用的 1.31 微米和 1.55 微米波長)。憑藉矽材料的高折射率(約 3.5),光可以被限制在截面尺寸僅數百奈米的微型波導(waveguide)中傳播,這些波導就像是為光子鋪設的「電線」。   


在一個矽光子晶片上,可以整合多種關鍵的光學元件,包括:


  • 波導(Waveguides):引導光子在晶片內傳播的路徑。

  • 調變器(Modulators):將電子資料訊號編碼到光束上的裝置,實現電光轉換。

  • 光偵測器(Photodetectors):吸收光子並將其承載的資訊轉換回電子訊號的裝置,實現光電轉換 。   


透過將這些元件整合在單一晶片上,矽光子技術能夠以比傳統分立式光學元件更小的尺寸、更低的功耗和更高的速度來傳輸資訊 。   


無可比擬的優勢:CMOS 生態系統

矽光子技術最核心、最具戰略意義的優勢,在於它與現有的互補式金屬氧化物半導體(CMOS)製造基礎設施的兼容性 。這意味著矽光子元件可以在全球成熟的半導體晶圓廠中,使用標準化、高良率的製程進行大規模生產。   


這項優勢帶來了深遠的經濟影響。它使得矽光子技術能夠直接利用數十年來半導體產業投入的數千億美元研發和設備投資,從而以遠低於傳統光學材料(如磷化銦 InP 或砷化鎵 GaAs)的成本進行製造 。根據產業路線圖,利用成熟的 300mm 晶圓製程,矽光子晶片的良率可超過 90%,實現了從原型開發到大規模量產的可擴展路徑 。   


技術的阿基里斯之踵:光源整合與製造障礙

儘管前景廣闊,矽光子技術仍面臨著一些固有的挑戰,這些挑戰為其廣泛應用設置了障礙。

首要挑戰是光源的整合。由於矽的間接能隙(indirect bandgap)物理特性,它本身並不是一種高效的發光材料;因此,產生光訊號所需的雷射器必須由 III-V 族半導體材料(如 InP)製成,然後再與矽光子晶片進行整合,這個過程,無論是透過外部耦合還是異質整合到晶片上,都極為複雜,並且在歷史上一直是成本的主要來源之一 。   


其次是封裝的複雜性。將單模光纖精確對準到尺寸僅為數百奈米的矽光子波導,是一項極具挑戰性的封裝任務。這個被稱為「光纖耦合」(fiber coupling)的步驟,對精度要求極高,其成本可能佔據整個光收發器模組總成本的 80% 之多,因此,儘管矽光子晶片本身的製造成本可以很低,但最終產品的成本和良率在很大程度上取決於封裝、組裝和測試的效率 。   


從戰略層面分析,矽光子代表了半導體與光學產業的戰略性融合,在這場融合中,價值鏈的核心正從傳統的分立元件組裝,轉向晶圓級的整合製造。這表示矽光子將一個複雜的精密組裝問題,轉化為一個半導體製造問題,這正是台積電(TSMC)、英特爾(Intel)等半導體巨頭擁有壓倒性競爭優勢的領域,因此,未來的投資焦點將從傳統的光學元件製造商,轉向那些能夠掌握先進封裝技術並能大規模解決雷射整合問題的半導體巨頭及其生態系統。


CPO 革命:整合光與邏輯


基於矽光子技術的成熟,一種革命性的系統架構——共同封裝光學(Co-Packaged Optics, CPO)應運而生。CPO 並非對現有技術的簡單改良,而是對資料中心互連方式的根本性重塑 。   


解構 CPO 架構

CPO 的核心架構定義非常清晰:它將負責電光轉換的光學引擎(Optical Engine),從傳統位於機箱前面板的可插拔模組中移出,直接與交換器 ASIC 共同封裝在同一個基板(substrate)或封裝體內 。   


這種設計上的躍遷,將電子訊號的傳輸路徑從 PCB 上數十公分的銅走線,縮短到基板上僅數毫米的距離 。正是這種極致的物理鄰近性,構成了 CPO 所有優勢的基礎。它徹底消除了困擾傳統設計的高頻損耗問題,從而不再需要高功耗的 DSP 來進行訊號補償 。   


架構比較分析:CPO 與其替代方案

為了充分理解 CPO 的革命性,有必要將其與現有的及過渡性的技術進行比較。


  • 可插拔光學模組(DSP-based Pluggable Optics):這是當前市場的主流技術。其最大的優點是現場可維護性高(可熱插拔),並且擁有一個成熟、開放的多供應商生態系統。然而,在 1.6T 及以上的速率下,它正面臨著無法逾越的功耗和密度瓶頸 。   


  • 線性驅動可插拔光學模組(Linear Pluggable Optics, LPO):這是一種演進式的過渡方案。LPO 保留了可插拔的形態以維持其可維護性,但移除了模組內部的 DSP 晶片,將訊號補償的負擔轉移到交換器 ASIC 上。相較於傳統 DSP 模組,LPO 可節省約 50% 的功耗,但其應用場景主要局限於短距離傳輸 。LPO 可以被視為在全面轉向 CPO 之前,一個務實的、風險較低的折衷選擇。   


  • 共同封裝光學(CPO):這是一次革命性的架構變革,CPO 透過深度整合矽晶片與光子晶片,提供了最低的功耗和延遲。它不是一個簡單的模組替換,而是需要對整個交換器系統進行重新設計 。   


下表對這些技術在 1.6T 及以上速率下的關鍵指標進行了量化比較,為投資者提供了一個清晰的決策框架。


表 1:1.6T+ 速率下不同互連技術的比較分析

指標

被動式銅纜

可插拔光學 (DSP)

線性驅動可插拔光學 (LPO)

共同封裝光學 (CPO)

功耗 (pJ/bit)

最低 (<1)

高 (15-20)

中 (~7-10)

最低 (<5, 目標 <1)

延遲

最低

高 (DSP 增加 ns 級延遲)

中 (無 DSP)

最低 (路徑最短)

最大傳輸距離

< 2 公尺

> 10 公里

< 2 公里

> 100 公尺

頻寬密度

最高

可維護性

最高 (可熱插拔)

最高 (可熱插拔)

低 (需更換板卡)

生態系統成熟度

成熟

成熟

新興

初始/標準化前期


此表清晰地揭示了 CPO 並非僅僅是漸進式的改進,而是一種根本性的架構轉變。它也解釋了 LPO 作為一種侵入性較小的過渡技術存在的理由。對於投資者而言,這將決策框架從「哪種技術最好?」轉變為「哪種技術最適合特定的應用場景、時間表和風險承受能力?」。


可量化的回報:功耗、延遲與密度

CPO 帶來的效益是具體且顛覆性的,主要體現在以下三個方面:


  • 能源效率:CPO 解決方案能夠實現相較於可插拔模組 30% 至 50% 的系統級功耗降低 。互連功耗可以被壓縮到 5 pJ/bit 以下 ,在某些先進設計中甚至低於 1 pJ/bit ,這與當前可插拔模組約 15-20 pJ/bit 的水平相比,是質的飛躍 。NVIDIA 宣稱其 CPO 解決方案的能效提升了 3.5 倍 。   


  • 延遲降低:透過移除 DSP 處理延遲並極大縮短電氣路徑,CPO 提供了目前技術所能實現的最低延遲。這對於需要緊密耦合、協同運作的 AI 訓練叢集至關重要,因為在這些系統中,任何微小的延遲都可能導致昂貴的 GPU 處於閒置等待狀態 。   


  • 頻寬密度:CPO 大幅提升了從單一晶片封裝中能夠引出的總頻寬,支持超過每毫米晶片邊緣 1 Tbps 的頻寬密度 。這使得設計具有更高基數(radix,即端口數量)的交換器成為可能,例如 NVIDIA 計劃推出的擁有 512 個 800G 端口的 CPO 交換器,這將極大提升網路的拓撲靈活性和擴展性 。   


市場轉折點:2025 年及之後的 CPO 採納路徑


綜合多家產業分析機構的預測,CPO 市場的轉折點預計將在 2025 年下半年至 2026 年間到來,屆時,這項技術將從實驗室原型階段邁入商業化部署。


綜合市場預測

  • 時間點:市場普遍認為 CPO 的商業化部署將在 2025 年啟動。NVIDIA 計劃在 2025 年下半年推出其 Quantum-X InfiniBand CPO 交換器,並在 2026 年推出 Spectrum-X 乙太網路 CPO 交換器 。   


  • 市場規模:市場研究機構 LightCounting 預測,「AI 叢集光學」市場將從 2024 年的 50 億美元增長至 2026 年的超過 100 億美元,而 LPO 和 CPO 將是 2026-2027 年之後的主要增長動力 。Yole Group 則預測 CPO 市場規模將在 2033 年達到 26 億美元,年均複合增長率(CAGR)高達 46% 。其他機構的預測也顯示,該市場的 CAGR 將在 15% 至 37% 之間 。   


  • 滲透率:到 2027 年,CPO 端口預計將佔據 800G 和 1.6T 端口總出貨量的近 30%,顯示其將迅速成為高速互連市場的重要組成部分 。   


殺手級應用:AI 擴展(Scale-Up)與橫向擴展(Scale-Out)


AI 基礎設施的獨特需求是推動 CPO 技術採納的主要催化劑。


  • AI 工作負載的特性:大規模 AI 模型的訓練和推理需要在 GPU 叢集內部進行大量的「東西向」(east-west)資料交換。這些通訊對高頻寬和超低延遲有著極為苛刻的要求,以防止 GPU 因等待資料而閒置,從而最大化運算效率 。   


  • Scale-Up 網路:CPO 對於 AI 加速器之間的「擴展」(Scale-Up)網路至關重要。它能夠創建緊密耦合的運算矩陣(fabric),使得數百甚至數千個 GPU 能夠像一個單一的、巨大的超級 GPU 一樣協同工作 。在這個應用場景中,CPO 將首先取代傳統的銅纜互連。   


  • Scale-Out 網路:從長遠來看,CPO 也將滲透到連接交換器的「橫向擴展」(Scale-Out)網路中。在這個領域,它將開始蠶食傳統可插拔光學模組的市場份額,儘管這將是一個更為漸進的過程 。   


克服逆風:散熱、可維護性與標準化


儘管前景光明,CPO 的普及之路仍面臨三大挑戰,這些挑戰可能會減緩其採納速度。


  • 散熱管理:將功耗極高的 ASIC(通常超過 500 瓦)與對溫度極為敏感的光學元件封裝在一起,產生了巨大的散熱挑戰。高溫不僅會影響 ASIC 的性能,還可能導致光學元件(特別是雷射器)的波長漂移和可靠性下降。因此,先進的液冷(liquid cooling)技術對於 CPO 系統而言,不再是可選項,而是必需品 。針對 51.2 Tbit/s CPO 系統的熱管理研究已經驗證,設計精良的液冷方案是確保系統穩定運行的關鍵 。   


  • 可維護性與可靠性:這是 CPO 在運營層面最大的短板。傳統的可插拔模組發生故障時,只需幾分鐘即可完成熱插拔更換。然而,CPO 的光學引擎是與 ASIC 深度整合的,一旦發生故障,可能需要更換整張線路卡甚至整個交換器,這將導致更長的停機時間和更高的運營風險 。因此,在設計中引入備援機制(redundancy)對於提升系統的整體可靠性至關重要 。   


  • 生態系統成熟度與供應商鎖定:CPO 生態系統目前仍處於起步階段。早期的部署將是專有(proprietary)解決方案,這帶來了供應商鎖定的風險,而這正是超大規模資料中心運營商極力避免的。CPO 的長期成功,取決於能否在強有力的行業標準支持下,建立一個健康的、多供應商的競爭性生態系統 。   


綜合分析市場動態與挑戰,CPO 市場的採納可能會呈現兩階段發展的模式。**第一階段(2025-2027年)**將由像 NVIDIA 這樣的垂直整合巨頭主導,他們將 CPO 應用於自身專有的、高利潤的 AI Scale-Up 系統中。在這些系統裡,極致的性能和功耗是首要目標,「單一供應商」問題是系統固有的一部分。


第二階段(2027年之後),隨著標準的成熟、現場可靠性的驗證以及多供應商供應鏈的出現,CPO 將開始在更廣泛的通用資料中心交換器市場中被採納。對於投資者而言,這意味著在中期內市場將出現分化,應關注在不同階段具備競爭優勢的企業:NVIDIA 和博通(Broadcom)在早期的專有市場中佔據先機,而那些積極擁抱開放標準的企業則可能在後期的廣泛市場中勝出。


新興的供應鏈:由整合所催生的新生態系統


CPO 的興起正在重塑整個光通訊和半導體的供應鏈,催生出一個由深度整合驅動的新生態系統。在這個生態系統中,傳統的界限變得模糊,成功取決於跨領域的協同合作。


關鍵參與者版圖

多家科技巨頭正在積極佈局 CPO 技術,但其策略各有側重。


  • 系統與 ASIC 設計商

    • NVIDIA:作為 AI 運算領域的領導者,NVIDIA 正全力推動 CPO 在其 AI 平台(如 Quantum-X 和 Spectrum-X)中的應用。NVIDIA 不僅設計交換器 ASIC,還深入研發核心的矽光子技術,如其創新的微環調變器(Micro Ring Modulator),並與台積電緊密合作,形成強大的垂直整合能力 。   


    • Broadcom:作為商用交換器晶片市場的巨頭,博通是 CPO 技術的先行者。其 Tomahawk 交換器系列已經推出了第二代 CPO 產品(Bailly),並發布了第三代平台。博通憑藉其在 SerDes、DSP 和交換器 ASIC 領域的深厚積累,與台積電合作,致力於提供開放的 CPO 平台解決方案 。   


    • Marvell:Marvell 的策略是成為超大規模資料中心客戶開發自研晶片的賦能者。它正在開發 3D 矽光子引擎和 CPO 架構,旨在將光學 I/O 整合到客戶的定制化 AI 加速器(XPU)中,提供更靈活的解決方案 。   


    • Intel:英特爾是矽光子技術的早期領導者,但其策略似乎已從開發 CPO 交換器產品,轉向專注於提供光學 I/O 晶片組(chiplet)和利用其先進的晶圓代工與封裝能力。英特爾的目標是成為 CPO 生態系統中的關鍵技術提供商 。   


晶圓代工廠的戰場:先進封裝為王


CPO 技術的實現與尖端的半導體封裝技術密不可分。將電子積體電路(EIC)和光子積體電路(PIC)高效能地整合在同一個封裝內,是 CPO 面臨的核心挑戰,這也使得先進封裝技術成為了競爭的焦點。


  • 異質整合:CPO 的本質是一種異質整合(heterogeneous integration)技術,即將不同功能、不同製程的晶片整合在一個封裝中。這使得 2.5D 和 3D 封裝技術成為了實現 CPO 的關鍵。

  • TSMC:台積電憑藉其領先的 CoWoS(Chip-on-Wafer-on-Substrate)平台,成為了 NVIDIA 和博通等領導廠商的關鍵製造夥伴。對台積電而言,CPO 不僅僅是一個產品,而是其先進製造流程中至關重要的一環,是其技術領導力的延伸 。   


  • Intel:英特爾正利用其獨特的 Foveros(3D 堆疊)和 EMIB(嵌入式多晶片互連橋接)技術,與台積電展開直接競爭。英特爾晶圓代工服務(Intel Foundry)正積極向業界展示其封裝能力,甚至提供將原本為 CoWoS 設計的產品直接移植到其平台上的服務,試圖緩解整個行業的先進封裝產能瓶頸 。   


  • OSATs(委外封裝測試廠):諸如 Amkor 和 Sanmina 等專業封測廠,正與 Marvell 等無晶圓廠設計公司合作,為市場提供除台積電和英特爾之外的另一種 CPO 製造路徑,這有助於建立一個更多元化的供應鏈 。   


凝聚共識:標準化的關鍵作用

為了避免市場碎片化和專有技術的壁壘,行業標準的制定至關重要。


  • OIF(光學互聯網論壇):OIF 是推動 CPO 標準化的核心組織。其發布的《3.2T 共同封裝模組實施協議》(3.2T Co-Packaged Module Implementation Agreement)是一個里程碑式的成就。該協議定義了可互通模組的機械尺寸、光學介面、電氣介面(如 CEI-112G-XSR)以及管理介面(CMIS),為建立一個多供應商的生態系統奠定了基礎 。   


  • UCIe(通用晶片互連快線):UCIe 是一個開放的 die-to-die 互連標準。它的普及對於創建一個「即插即用」的晶片組生態系統至關重要,使得來自 Ayar Labs 等公司的光學 I/O 晶片組能夠無縫地與來自不同供應商的 ASIC 進行連接 。   


深入分析供應鏈的結構可以發現,CPO 市場的競爭,實際上是不同晶圓代工生態系統之間的代理戰爭,這場競賽的勝負,不僅取決於 NVIDIA 或博通的晶片設計能力,更深層次地,是台積電及其合作夥伴的垂直整合能力,與英特爾晶圓代工及其合作夥伴的生態系統之間的較量。在 CPO 時代,成功的關鍵不再是擁有最優秀的單一元件,而是掌握從設計到製造,跨越多個學科領域,並能以高良率共同生產整個電光系統的複雜能力;因此,評估 CPO 市場的投資者,必須將目光投向晶圓代工廠的封裝技術路線圖、產能規劃和生態系統策略,因為它們才是 CPO 設備供應商們最終的賦能者——或限制者。


下一個前沿:CPO 作為分解式架構的催化劑


CPO 的影響遠不止於提升交換器的性能。從長遠來看,它及其底層的光學 I/O 技術,將成為催化下一代資料中心架構革命的基礎技術。


重塑資料中心:分解與組合式架構

光學 I/O 技術從根本上移除了傳統電氣互連在距離、頻寬和功耗上的限制,這使得系統架構師能夠將原本緊密耦合在單一伺服器內的資源,如運算(CPU/GPU)、記憶體和儲存,進行物理上的分離,即「分解式架構」(Disaggregated Architectures)。   


  • 資源池化:在分解式架構中,資料中心不再由一台台獨立的伺服器構成,而是由可動態組合的資源池組成。例如,記憶體可以被池化,並根據工作負載的需求,透過高速光學網路動態分配給不同的運算單元。這徹底解決了傳統架構中的「記憶體牆」問題——即 GPU 擁有強大的運算能力,卻受限於本地記憶體的容量和頻寬 。   


  • 組合式基礎設施:這種架構的轉變,使得構建「組合式基礎設施」(Composable Infrastructure)成為可能。運營商可以根據應用程式的具體需求,即時地、軟體定義地「組裝」出最佳化的虛擬伺服器,從而極大提升資源利用率、靈活性和擴展性 。這代表著從以伺服器為中心的架構,向以資料中心為單位的、更高效的矩陣式架構的範式轉移。   


超越 3.2T 的路線圖:邁向晶片上光子學

隨著技術的演進,CPO 本身也將不斷發展。


  • 更高速度的驅動:當單通道速率從 100G 提升至 200G 甚至 400G 時,最初推動 CPO 發展的物理挑戰將會變得更加嚴峻。這使得 CPO 在 400G/lane 的系統(即 3.2T 及以上)中,將從一個優選項變為唯一的技術路徑 。   


  • 終極目標:晶片上光子學:CPO 的終極演進方向,是將光子元件與邏輯電路進行單晶片(monolithic)或 3D 整合,徹底消除封裝層級的互連,實現真正的「晶片上光子學」(On-Chip Photonics)。台積電正在開發的 COUPE(緊湊型通用光子引擎)技術,利用其 SoIC-X 3D 堆疊技術,就是朝著這個方向邁出的重要一步 。   


  • 新的物理挑戰:然而,要實現如此高的整合密度,也將面臨新的基礎物理挑戰。例如,極高的光功率密度可能導致波導本身產生非線性損耗;同時,大規模整合雷射器所帶來的成本、功耗和散熱問題也將變得更加棘手 。   


後摩爾定律時代的戰略意義


在傳統電晶體微縮(即摩爾定律)趨緩的時代,矽光子和 CPO 的戰略意義尤為凸顯。


當透過縮小電晶體尺寸來提升性能變得越來越困難時,未來的性能增長將更多地依賴於架構創新和資料移動效率的提升。矽光子技術提供了一條打破 I/O 瓶頸的有效路徑,而 I/O 瓶頸正日益成為限制整個系統性能的主要因素 。   


因此,CPO 不應僅被視為一種網路元件,而應被看作是下一代運算架構的基礎賦能技術。它的真正長期價值,在於其解鎖了如資源分解等系統級創新的潛力。這將投資的論點從一個簡單的元件升級週期,轉變為對資料中心基礎架構進行根本性重置的押注。對於在後摩爾定律時代尋求持續性能擴展的科技產業而言,矽光子和 CPO 代表了未來十年最為重要的戰略性技術平台之一。

Subscribe to AmiNext Newsletter

Thanks for submitting!

  • LinkedIn
  • Facebook

© 2024 by AmiNext 金融與科技筆記

bottom of page