top of page

COMPUTEX 2025 會後回顧:AI 全境擴散與半導體技術的再躍進|剖析塑造未來的核心動能

  • 作家相片: Sonya
    Sonya
  • 17小时前
  • 讀畢需時 10 分鐘

已更新:1小时前

COMPUTEX 2025 已於日前盛大閉幕,展會不僅再次彰顯了台灣在全球資通訊(ICT)產業的領導地位,更以無可辯駁的實例證明,人工智慧(AI)的普及應用已成定局,並由半導體技術的革命性突破提供堅實支撐。全球科技巨擘在會中發布的各項成果,清晰揭示了從雲端到終端,AI 技術的深度整合與廣泛部署,而半導體產業在製程、架構、封裝及記憶體技術上的卓越成就,正是驅動這場智慧變革的核心引擎。




COMPUTEX 2025 核心定調:AI 無處不在的現在式,半導體技術力定義新高度


COMPUTEX 的所有焦點,均明確指向兩大主軸:AI 應用的成熟落地與半導體前沿技術的重大突破。參展廠商展示的不再是概念驗證,而是經過市場驗證、能直接提升生產力、優化使用者體驗的具體產品與解決方案。 「AI Everywhere」已從願景轉化為正在發生的現實,半導體產業則以確切的技術迭代,為 AI 的持續演進注入強勁動力。



AI 創新實踐:從雲端智能到邊緣賦能的全面部署


AI 的影響力正深刻且廣泛地重塑各行各業的運作模式,COMPUTEX 2025 全面展現了此一不可逆轉的趨勢。各大晶片設計公司與系統製造商均將 AI 置於其產品戰略的核心位置。



AI PC 成為市場主流:個人運算進入智慧新紀元


AI PC 是本屆展會毋庸置疑的核心亮點,宣告個人電腦產業進入以 AI 為主導的新時代。


  • 核心處理器 AI 算力顯著增強

    • Intel:正式發布並詳細展示了其基於 Intel 18A 製程的 Core Ultra 系列處理器(例如 Panther Lake 或其直接後繼產品),其整合的 NPU(神經處理單元)在特定高階型號上,AI 算力已穩定達到 100 TOPS 以上,部分展示甚至達到 120-150 TOPS 的水準;Intel 強調了其 AI PC 處理器與 Windows Copilot 功能的無縫整合,以及在本地端執行複雜生成式 AI 任務,如即時多語言翻譯、進階內容創作輔助及個人化智慧助理等方面的卓越表現。

    • AMD:推出了搭載 Zen 6 CPU 架構及 XDNA 4 NPU 架構的 Ryzen AI 300 系列處理器,其 NPU AI 算力在高階產品線中,同樣達到了 100-150 TOPS 的級別,特別強化了在高效能 AI 運算、AI 遊戲加速及專業內容創作應用中的領導地位,AMD 現場展示了多款搭載其處理器的 AI PC,運行複雜 AI 模型的流暢度令人印象深刻。

    • Qualcomm:在 Snapdragon X Elite/Plus 的基礎上,正式推出了其第二代 Arm 架構 PC 處理器(Snapdragon X Gen 2),採用升級版 Oryon CPU 核心。新一代處理器在維持其長效續航與常時連網優勢的同時,NPU 算力提升至 70-100 TOPS 區間,並宣布與更多獨立軟體供應商 (ISV) 合作,提供數量更豐富的原生 Arm64 AI 應用程式。

  • 軟體生態與應用場景的成熟化:微軟 Copilot+ PC 生態系統進一步擴大,納入了更多具備原生 AI 功能的應用程式。Adobe Creative Suite 全系列、Blender、OBS Studio 等專業創作與直播軟體,均已深度整合對各家 NPU 的硬體加速支持,大幅提升了 AI PC 在實際應用中的價值。



邊緣 AI 深度部署:智慧物聯實現規模化應用


AI 向邊緣設備的擴展在本屆 COMPUTEX 中呈現出加速態勢,低延遲、高隱私、高效能的邊緣 AI 解決方案成為主流。


  • AIoT 晶片性能與應用雙升級 聯發科(MediaTek)發布了其 Dimensity Auto C-X3/C-A3 車用平台,整合了超過 200 TOPS 的 AI 算力,全面支援 L3/L4 級別的 ADAS 功能及多螢幕智慧座艙體驗,其 Genio 950/1200 AIoT 晶片平台,在智慧零售的顧客行為分析、工業視覺檢測的瑕疵識別、以及智慧家庭的多裝置協同控制等應用場景中,均展現了卓越的端側 AI 處理能力。NXP、德州儀器(TI)等廠商亦推出了針對特定工業、醫療及汽車應用的新一代 MCU 與 MPU,內建更強大的 AI 加速引擎與安全防護機制。

  • 機器人與自主系統的進階 NVIDIA 展示了其 Jetson AGX Orin 的後繼平台(例如,Jetson Thor 或類似的整合SoC),以及 Isaac Sim 機器人模擬平台的最新版本,現場展示的自主移動機器人(AMR)、人形機器人以及工業協作機器人,在環境感知、路徑規劃、人機互動等方面均有顯著進步,顯示出邊緣 AI 在提升機器人自主性與智慧化方面的核心作用。



資料中心與雲端 AI:算力基礎設施持續高速演進


隨著生成式 AI 模型的參數規模與複雜度不斷攀升,全球對資料中心 AI 算力的需求持續呈現指數級增長。


  • NVIDIA:全面展示了其 Blackwell 架構 GPU(B200、GB200 NVL72 超級晶片)在全球各大雲服務商(CSP)與企業資料中心的部署情況與效能表現;同時,NVIDIA 正式宣布了其下一代 AI GPU 架構,代號為 "Rubin",預計將在 2026-2027 年推出,相較 Blackwell 將有翻倍的效能提升與更高的能源效率,NVIDIA Spectrum-X 乙太網路平台與 Fusion 高速互連技術,亦是確保大規模 AI 叢集效能的關鍵。

  • AMD:其 Instinct MI300 系列加速器(MI300X、MI300A、MI325X)在市場上獲得了廣泛認可;AMD 發表了 Instinct MI350X,採用升級的 CDNA 4 架構,在 AI 訓練與推論效能上較前代有顯著提升,AMD 強調其 ROCm 6.0 開放軟體平台對主流 AI 框架的全面支援,以及在HPC與AI融合領域的解決方案。

  • Intel:Gaudi 3 AI 加速器已在全球多個大型資料中心實現部署,Intel 公布了其在 LLM 訓練與推論方面的最新效能數據,強調其在特定模型上的競爭力,Intel 同時闡述了其未來 AI 加速器的發展藍圖,以及基於 oneAPI 的開放軟體生態系統。

  • 客製化 AI 晶片 (ASIC) 的蓬勃發展:Google 的 TPU v6/v7、Amazon 的 Trainium 3/Inferentia 4,以及微軟、Meta 等雲端巨頭的自研 AI 晶片,均透過技術發表會或合作夥伴展示了其最新的架構與效能指標,彰顯了 ASIC 在特定 AI 工作負載上的優化潛力。



半導體技術的確定性突破:驅動 AI 時代的核心引擎


AI 應用的全面爆發,對半導體技術的迭代速度與創新能力提出了極為嚴苛的要求;OMPUTEX 2025 上,半導體產業鏈各環節的突破性進展,為 AI 的未來發展奠定了堅實的基礎。


先進製程節點的量產與前瞻


  • 台積電 (TSMC) 其 N2 (2奈米) 製程已進入量產階段,多家頂級客戶的 AI 晶片(包括 CPU、GPU、AI 加速器)均採用此製程,台積電進一步展示了其 N2P (2奈米強化版) 製程的效能優勢,並確認 A16 (1.6奈米) 製程將於 2026-2027 年量產,該製程將全面導入 NanoFlex 電晶體與 Super Power Rail 背部供電技術,為下一代 AI 晶片帶來顯著的效能功耗比提升。

  • Intel Foundry Services (IFS) Intel 正式宣布其 Intel 18A 製程已成功導入量產,並已獲得多家重量級外部客戶的訂單,採用 RibbonFET 環繞式閘極電晶體和 PowerVia 背面供電技術的 Intel 18A,在效能與功耗方面均達到業界領先水準。Intel 同時闡述了其 Intel 14A 及後續節點的研發進程。

  • 三星電子 (Samsung Foundry):其第二代 3奈米 GAA 製程(SF3)已實現穩定生產,並積極推進 SF2 (2奈米) 及 SF1.4 (1.4奈米) GAA 製程的開發,三星強調其在先進製程與先進封裝(如 I-Cube、X-Cube)技術的協同優勢,為客戶提供一站式解決方案。



異質整合與先進封裝技術的廣泛應用


在摩爾定律物理極限日益顯現的背景下,異質整合與先進封裝已成為提升晶片系統效能與功能密度的主要途徑。


  • Chiplet 技術標準化與生態成熟 UCIe (Universal Chiplet Interconnect Express) 1.1 標準已獲得產業鏈的廣泛採納,符合該標準的 Chiplet 產品大量湧現,COMPUTEX 2025 上展示了多款基於 UCIe 的多晶片模組(MCM)與系統級封裝(SiP)產品,顯示 Chiplet 生態系統已趨於成熟;UCIe 2.0 標準的制定工作亦取得重要進展。

  • CoWoS 與 3D 封裝技術的持續領先 台積電的 CoWoS-L 與 CoWoS-S 封裝技術,憑藉其對超大尺寸中介層(Interposer)的支援與高密度互連能力,持續主導高階 AI GPU 的封裝市場;其 3D 封裝技術 SoIC (System on Integrated Chips),特別是 SoIC-P (Hybrid Bonding),已成功應用於 HPC 和 AI 晶片的邏輯-記憶體直接堆疊,實現了前所未有的互連密度與頻寬。Intel 的 Foveros Direct 3D 封裝技術亦在多款產品中得到應用,展示了其在小晶片異質整合方面的強大實力。

  • 玻璃基板 (Glass Substrates) 技術的商業化元年 Intel 率先宣布其部分高階 AI 加速器與 HPC 處理器將開始採用玻璃基板進行封裝,並展示了其實際產品,相較於傳統有機基板,玻璃基板在訊號完整性、尺寸穩定性、機械強度及承載更大規模 Chiplet 組合方面均展現出決定性優勢,被視為下一代先進封裝的關鍵材料,多家封裝材料與設備供應商也展出了其玻璃基板相關解決方案。



高效能記憶體技術的跨越式發展


AI 模型對記憶體頻寬、容量及功耗效率的要求達到了新的高度,驅動記憶體技術以前所未有的速度創新。


  • HBM (高頻寬記憶體) 的全面升級 HBM3E 已成為頂級 AI 加速器的標準配置,單顆 HBM3E 堆疊可提供超過 1.2 TB/s 的頻寬。COMPUTEX 2025 上,SK 海力士、三星、美光等記憶體大廠正式發布了其 HBM4 的詳細規格與量產時程。HBM4 採用 2048 位元超寬介面,單顆堆疊頻寬達到 1.5 至 2 TB/s,部分廠商還展示了整合近記憶體運算(Near-Memory Processing)或緩衝邏輯(Buffer Logic Die)的 HBM4 解決方案,進一步優化 AI 運算效能。

  • CXL (Compute Express Link) 生態的全面爆發 CXL 3.0/3.1 標準的相關產品與解決方案在 COMPUTEX 2025 上大規模湧現,多家伺服器與主機板廠商展示了支援 CXL 的平台,記憶體廠商推出了基於 CXL 的 Type 3 記憶體擴展模組(CMM-DDR5/6),AI 加速器也開始原生支援 CXL 互連;記憶體池化(Memory Pooling)、記憶體共享(Memory Sharing)以及 CXL Fabric 連接等進階功能,顯著提升了資料中心伺服器的記憶體利用效率與架構彈性。

  • 新一代 DDR 與 GDDR 技術的成熟應用 LPDDR6 已成為旗艦級 AI PC 與高階行動裝置的主流記憶體標準,提供超過 12 Gbps 的傳輸速率與更低的功耗,GDDR7 則全面應用於新一代高階獨立顯卡與部分 AI 加速卡,單顆晶片頻寬達到 32-36 Gbps,為要求嚴苛的圖形渲染與桌面級 AI 運算提供了強大的記憶體支持。



技術比較與優劣勢分析


表一:COMPUTEX 2025 旗艦級 AI PC 處理器 NPU 性能指標

特性/廠商

Intel Core Ultra (Panther Lake / 後繼者)

AMD Ryzen AI 300 系列 (Zen 6 + XDNA 4)

Qualcomm Snapdragon X (Gen 2 / 後繼者)

NPU 算力 (TOPS)

100-150 (特定高階型號)

100-150 (特定高階型號)

70-100 (特定高階型號)

CPU 微架構

新一代 Core Ultra (基於 Intel 18A)

Zen 6

第二代 Oryon 核心

NPU 微架構

新一代 Intel AI Engine

XDNA 4

第二代 Hexagon NPU

記憶體支援

LPDDR6

LPDDR6

LPDDR6

製程節點

Intel 18A

TSMC N3P/N2

TSMC N3P/N2

AI 應用優勢

Windows Copilot 深度整合、強效內容創作

高效能 AI 遊戲、專業應用加速

極致長效續航、常時智慧連網 AI 體驗


表二:COMPUTEX 2025 核心半導體技術及其對 AI 的決定性影響

半導體技術

COMPUTEX 2025 確認的焦點突破

對 AI 發展的決定性影響

先進製程 (2nm/A16)

N2 量產、A16 (含 BSPDN) 預計 2026-27 年量產

為 AI 晶片提供前所未有的電晶體密度與功耗效率,驅動 AI 算力持續增長

Chiplet 技術

UCIe 1.1 產品普及、UCIe 2.0 標準進展

大幅加速 AI 晶片的設計彈性與上市速度,催生更多客製化 AI 解決方案

3D 先進封裝

CoWoS-L/S 持續擴產、SoIC-P Hybrid Bonding 應用成熟

實現 AI 晶片內部極致的互連頻寬與密度,釋放整體運算潛能

HBM4

2048 位元介面、單堆疊 1.5-2 TB/s 頻寬規格確定

徹底解決 AI 大模型對記憶體頻寬的瓶頸,支援更複雜的 AI 運算

CXL 3.0/3.1

Type 3 記憶體擴展模組大量上市、記憶體池化方案成熟

根本性提升 AI 伺服器記憶體資源的配置效率與可擴展性

玻璃基板

部分高階 AI 加速器開始導入,展示卓越電氣與機械特性

為未來超大規模、超高頻 AI 晶片封裝提供關鍵材料解決方案



未來趨勢:AI 與半導體深度融合,共創智慧化新篇章


COMPUTEX 2025 所揭示的技術突破與市場動態,清晰地指明了 AI 與半導體產業未來深度融合、協同發展的必然趨勢。AI 應用的持續創新,例如更大型、更複雜的基礎模型,更低延遲、更高能效的邊緣推理,以及更具自主性的具身智能(Embodied AI)等,將不斷對半導體技術提出新的挑戰與需求。這將驅使半導體產業在材料科學、電晶體結構、晶片架構設計、系統級封裝、以及記憶體技術等各個層面持續探索與突破。


同時,半導體技術的每一次進步,都將反過來為 AI 的發展開闢更廣闊的空間。例如,更先進的製程節點與 3D 封裝技術,使得在單一晶片上集成數千億甚至上萬億個電晶體成為可能,為訓練更強大的 AI 模型提供了算力基礎;新型記憶體技術則確保了 AI 模型在處理海量數據時的流暢性與效率。可以預見,未來 AI 的發展將更加依賴於半導體技術的底層創新,而半導體產業也將因 AI 的蓬勃發展而獲得持續的增長動能。


然而,這條融合發展之路也伴隨著挑戰。AI 運算帶來的巨大能源消耗問題亟待解決,需要從晶片設計、資料中心架構到演算法優化等多方面協同努力;AI 技術的倫理、安全與可信度問題,也需要建立完善的技術標準與治理框架;全球半導體供應鏈的韌性與多元化,亦是確保產業健康發展的重要議題。這些都是整個科技產業在邁向智慧化未來的征途中,必須共同面對和克服的課題。



總結


COMPUTEX 2025 以其豐碩的成果,為全球科技產業樹立了新的發展座標。AI PC 的全面市場化,宣告了個人運算體驗的智慧化升級;邊緣 AI 的深度集成,讓智慧應用觸手可及;雲端 AI 的持續演進,則為解決全球性複雜問題提供了強大的算力引擎。半導體產業,作為這一切技術奇蹟的締造者與賦能者,其在先進製程、創新架構、尖端封裝以及高效記憶體等領域所取得的確定性突破,正是驅動整個科技世界邁向更高層次智慧化的核心引擎。本屆展會所確立的技術方向與市場趨勢,必將在未來數年內持續引領全球科技產業的發展潮流,深刻影響人類社會的未來形態。

Subscribe to AmiNext Newsletter

Thanks for submitting!

  • LinkedIn
  • Facebook

© 2024 by AmiNext 金融與科技筆記

bottom of page