top of page

Beyond FinFET: How GAAFET & CFET Architectures Are Saving Moore's Law | The Future of Semiconductor Scaling

  • Writer: Sonya
    Sonya
  • Jul 1
  • 35 min read

The Post-FinFET Scaling Imperative



The Legacy of FinFET and the Unrelenting Drive of Moore's Law


The advent of the Fin Field-Effect Transistor (FinFET) marked the first major architectural shift in the history of transistor devices. Its introduction of a tri-gate control structure successfully extended the process of gate-length scaling for several generations. For decades, Moore's Law—the prediction that the number of transistors on a chip doubles approximately every two years—has been the primary driver of innovation in the semiconductor industry, continuously pushing device miniaturization and performance enhancement. Compared to traditional planar Complementary Metal-Oxide-Semiconductor (CMOS) technology, FinFETs achieved significant advancements in performance and power reduction. For instance, the 16/14nm FinFET process offered a 40-50% performance boost or a 50% power reduction compared to the 28nm planar process. These achievements cemented FinFET's pivotal role in modern semiconductor technology.


However, technological evolution is relentless. As device dimensions continue to approach physical limits, even a revolutionary architecture like FinFET has begun to face its scaling bottlenecks. This shift towards non-classical device architectures was anticipated as early as the 32nm node, indicating the industry's long-term awareness of the eventual limitations of not just planar CMOS, but also 3D structures like FinFET.


The Emergence of FinFET's Scaling Bottlenecks


Despite its tremendous success, as device scaling advanced towards the 5nm node and beyond, the fundamental physical and manufacturing limitations of FinFETs became increasingly apparent. These constraints have made it difficult for the technology to continue meeting the demands of Moore's Law, compelling both industry and academia to actively seek the next generation of transistor architectures.


The Search for a Successor: The Debut of GAAFET and CFET


Against this backdrop, the Gate-All-Around FET (GAAFET) emerged as the direct successor to FinFET. By designing a gate that envelops the channel on all four sides, it provides superior electrostatic control. Looking further ahead, the Complementary FET (CFET), a more advanced vertically-stacked architecture, promises to achieve even higher transistor density and performance, representing a paradigm shift beyond planar and side-by-side 3D structures. This report aims to provide an in-depth exploration of the technology roadmap from FinFET to these advanced vertical transistor architectures, offering a detailed analysis of their technical principles, challenges, and industry progress.


The pace of foundational transistor architecture changes—from planar CMOS to FinFET, and now to GAAFET and the potential CFET—appears to be accelerating. This suggests that as technology advances, the industry is hitting physical limits faster, necessitating more radical solutions more frequently, rather than relying on incremental scaling. The planar CMOS architecture dominated for decades through incremental scaling, and FinFET, as a major shift to 3D, also persisted for several technology nodes. However, GAAFET was introduced in a relatively short period after FinFET became mainstream, and CFET is already being actively researched as the next step after GAA. This compression of architectural lifecycles indicates that the "easy" scaling path has been largely exhausted, requiring more frequent and complex foundational innovations to sustain the progress of Moore's Law. The International Roadmap for Devices and Systems (IRDS) also pointed out that FinFET's physical gate length scaling would hit a bottleneck at 14/12nm, further driving the need for these new architectures.


Furthermore, the driving force beyond FinFET is not merely technical. Intense global competition in the semiconductor industry and the immense economic importance of leading-edge semiconductor manufacturing provide powerful momentum. Massive investments from giants like TSMC, Samsung, and Intel, along with strong government support for domestic semiconductor production, highlight this reality. The semiconductor market is projected to reach $1 trillion by 2030, with urgent demand for advanced chips from fields like Artificial Intelligence (AI), the Internet of Things (IoT), and autonomous systems. Intel's IDM 2.0 strategy, including its ambitious "five nodes in four years" goal, is a direct response to competitive pressure and an attempt to reclaim leadership. Therefore, the pursuit of technologies like GAAFET and CFET is inextricably linked to national strategic interests and market dominance, making the associated R&D efforts both critical and well-funded.


The Twilight of FinFET: Understanding Its Hurdles


As FinFET technology nodes continued to advance, a series of physical and manufacturing challenges became increasingly severe, collectively forming the primary obstacles on its scaling path.


Aggravation of Short-Channel Effects (SCEs)


When the gate length of a FinFET shrinks below a critical dimension (e.g., below 32nm), Short-Channel Effects (SCEs) become particularly severe. These effects include Drain-Induced Barrier Lowering (DIBL), threshold voltage (Vth​) roll-off, and increased off-state leakage current (Ioff​) due to the degradation of the subthreshold swing. These issues impair the transistor's ability to switch off effectively, leading to higher static power consumption and reduced device reliability. To effectively control SCEs in multi-gate devices, extremely narrow fin widths (WFin​≤10nm) are required, which, in turn, introduces other problems.


Parasitic Resistance and Capacitance


Compared to planar MOSFETs, FinFETs inherently exhibit higher parasitic capacitance due to their 3D fin structure and increased gate overlap area. The series resistance in the source/drain regions (RSD​) becomes a major performance bottleneck, especially for narrower fins, severely degrading drive current and high-speed operation capabilities. This phenomenon is more pronounced in NMOS than in PMOS. Additionally, in narrow fins, challenging recrystallization during the junction anneal process can lead to defect formation and poor dopant activation, further worsening RSD​.


Carrier Mobility Degradation and Strain Engineering Limits


In narrow fins, the (110) sidewall surface becomes dominant, affecting electron and hole mobility differently. While hole mobility on the (110) transport plane may improve as the fin narrows, electron mobility can be adversely affected. Strain engineering techniques, crucial for enhancing mobility, gradually lose their effectiveness with scaling, posing an additional challenge to maintaining drive current.


Threshold Voltage (VT​) Control and Variability


The fully depleted nature of narrow fins limits VT​ tuning options primarily to metal gate workfunction engineering, which is extremely challenging to control precisely. Achieving the multiple VT​ values (low, standard, high VT​) required for CMOS logic necessitates complex capping layer techniques, further increasing process complexity. Although FinFETs with lightly doped channels mitigate the random dopant fluctuation issues common in planar CMOS, other sources of variation, such as gate length (Lgate​) variability and RSD​ fluctuations, persist.


Manufacturing Complexity and Quantum Effects


Manufacturing complexities, such as the patterning of fins and gates, demand extremely precise process control. Ensuring a stable Vth​ at gate lengths below 20nm requires very narrow fin widths, which are challenging to manufacture with uniformity. Corner effects of the fins can lead to performance degradation and higher leakage currents. Furthermore, quantum confinement effects become significant in ultra-thin fins, reducing the density of available states, while overly thick fins weaken the gate's electrostatic control. Fin height must also be carefully managed (typically less than four times the fin thickness) to avoid unstable operation.


These limitations faced by FinFETs are not isolated but interconnected, forming a chain reaction. For instance, the need to shrink fin width to control short-channel effects directly leads to an increase in series resistance (RSD​) and makes VT​ control more difficult. This cascade effect means that solving one problem can exacerbate another, making further scaling a complex trade-off. First, to control SCEs like DIBL and Vth​ roll-off, the fin width (WFin​) must be reduced. Second, a reduced WFin​ increases series resistance (RSD​) due to a smaller cross-sectional area for current flow and difficulties in doping/annealing in narrow structures. Consequently, the increased RSD​ degrades the drive current, partially offsetting the benefits of scaling. Simultaneously, the reduced WFin​ leads to full depletion, confining VT​ control to workfunction engineering, which is highly complex for achieving multiple VT​ targets. Moreover, quantum effects become more pronounced in very narrow fins. Thus, the "solution" to one problem (SCEs) creates or worsens others (RSD​, VT​ control, quantum effects), indicating that the FinFET architecture has reached its inherent limits.


While the transition from 2D (planar) to 3D (FinFET) brought a significant leap, the returns from continuously scaling the FinFET structure itself, in terms of performance/power benefits, are diminishing relative to the escalating manufacturing complexity and cost. This is the strong motivation driving the industry to seek more fundamental architectural shifts like GAA or CFET. FinFET indeed provided significant gains over planar devices. However, as FinFETs are scaled further (e.g., towards 5nm and 3nm nodes), challenges like parasitic effects, mobility, and VT​ control become increasingly severe. The manufacturing process (e.g., narrow fin patterning, multi-VT​ metal gates) becomes exceedingly complex and costly. The performance gains achievable with each scaling step also become harder to realize due to these offsetting effects. This indicates that while FinFET was a crucial step, it is approaching its intrinsic limits where the complexity and cost of overcoming its issues begin to outweigh the benefits, prompting the search for architectures (GAA, CFET) that can unlock a new S-curve of improvement. The IRDS forecast that FinFET scaling would stagnate at a physical gate length of 14/12nm supports this view.


Gate-All-Around FETs (GAAFETs): The Path of Horizontal Evolution


Facing the scaling bottlenecks of FinFETs, Gate-All-Around FETs (GAAFETs) have become the industry's recognized next-generation mainstream architecture. Through its unique structural design, GAAFET aims to provide superior electrostatic control, thereby continuing the progression of Moore's Law.


Architectural Innovation: Nanosheets and Nanowires


The core feature of a GAAFET is that its gate completely wraps around the channel from all sides, providing stronger electrostatic control compared to the typical three-sided gate of a FinFET. Currently, there are two main forms of GAAFET architecture:


  • Nanosheets: This structure consists of horizontally stacked thin layers of silicon (or other semiconductor materials) that form the channel. By stacking multiple nanosheets, the effective channel width (Weff​) can be increased, achieving higher drive current in the same footprint. The nanosheet structure is the mainstream GAA architecture widely adopted by the industry today.


  • Nanowires: This structure uses silicon nanowires as the channel, with the gate fully surrounding them. Nanowires can be considered a special case of nanosheets with a very narrow channel width, or a precursor to them. Some research has also explored structures like stacked diamond-shaped germanium nanowires to enhance gate control and structural stability.


The GAAFET architecture (especially nanosheets) allows for the adjustment of channel width on demand (by changing nanosheet width or the number of stacked layers), offering a design flexibility not easily achievable in FinFETs.


Working Principle and Enhanced Electrostatic Control


The superior gate coupling of GAAFETs allows for more precise control over the channel compared to FinFETs. This enhanced control effectively suppresses short-channel effects, reduces leakage current, and enables operation at lower voltages, thereby improving power efficiency. GAAFETs can achieve a nearly uniform potential distribution within the channel, leading to a steep subthreshold swing.


Performance Benchmarks: Gains in Power, Performance, and Area vs. FinFET


GAAFETs (particularly nanosheet structures), due to their increased effective channel width, can deliver greater drive current than FinFETs within the same footprint. Concurrently, thanks to reduced leakage current and the potential for lower VDD​ operation, their power performance is also superior. For example, TSMC's N2 (GAA-based) node aims for a 10-15% performance improvement or a 25-30% power reduction compared to its N3E (FinFET-based) node. Samsung's 3nm GAA process targets up to a 35% area reduction, 30% performance improvement, or 50% power reduction compared to its 5nm FinFET process. However, it is noteworthy that at the 3nm node, the bit-cell area and parasitic capacitance of nanosheet SRAM may be larger than that of FinFET SRAM, which could adversely affect read latency and energy consumption, although its write-ability may be improved. This highlights that the advantages of GAAFETs can be application-specific.


Key Manufacturing Processes and Challenges


The manufacturing process for GAAFETs is more complex than for FinFETs, involving several critical steps and challenges:


  • Silicon/Silicon-Germanium (Si/SiGe) Stack and Nanosheet Release: The process typically begins with the alternating epitaxial growth of silicon (channel layers) and silicon-germanium (sacrificial layers) on a silicon substrate. These epitaxial layers are then patterned to form pillar structures, followed by the selective etching of the SiGe sacrificial layers (the "channel release etch") to suspend the silicon nanosheets. The challenges here include balancing a low germanium content to minimize defects with a high germanium content to facilitate selective etching, potential erosion of the silicon layers during the etch which affects channel thickness and Vth​, and the thermal inter-diffusion of Si-SiGe layers before channel release.


  • Inner Spacer Formation: Recesses are etched into the SiGe layers to form inner spacers. These spacers define the gate length and isolate the gate from the source/drain. This is a critical step that affects device performance.


  • Gate Dielectric and Metal Gate Deposition: Atomic Layer Deposition (ALD) is used to conformally deposit a high-k gate dielectric and metal gate materials around and between the nanosheets.


  • Strain Engineering: Introducing strain into the nanosheet structure to enhance carrier mobility (especially for holes) remains important and complex.


  • Multi-Threshold Voltage (Multi-VT​) Strategy: Achieving multiple threshold voltages is challenging due to the limited space for depositing different workfunction metals. Solutions include improving the deposition/etch processes for workfunction metals or adjusting the spacing between nanosheets (Tsus​).


  • Bottom Dielectric Isolation (BDI): Integrating a BDI layer beneath the nanosheets can reduce sub-channel leakage and DIBL, but it increases process complexity by reducing the selectivity of the SiGe etch.


  • Metrology: The 3D nature and nanoscale dimensions of GAA structures pose significant challenges for process control and yield metrology.


ESD Reliability of GAAFETs, Especially with Backside Power Delivery Networks (BSPDN)


A Backside Power Delivery Network (BSPDN) is a key enabling technology for continued scaling, moving power rails to the wafer's backside to reduce wiring congestion and improve power delivery efficiency. However, the thinning of the silicon substrate (e.g., to 300nm) required for BSPDN can compromise ESD robustness, as traditional ESD protection devices rely on sufficient silicon volume for discharge and heat dissipation. Studies show that on a thinned substrate, the current density and temperature of ESD diodes during an ESD event increase, potentially causing them to fail at lower ESD stress levels. To address these challenges, the industry has proposed solutions such as ESD diodes with active backside technology (e.g., p+ epitaxial layers and backside contacts) to improve area efficiency, current uniformity, and thermal dissipation.


The emergence of GAAFETs, while an architectural leap in electrostatic control, is initially based on silicon channels in its early generations (e.g., Samsung's 3nm, TSMC's N2), leveraging existing Si/SiGe process technology. This allows the industry to transition based on existing knowledge and supply chains, mitigating the difficulty of simultaneously switching to entirely new channel materials. The manufacturing of GAAFETs heavily relies on Si/SiGe epitaxial stacks and selective etching. While complex, these materials and processes have precedents in advanced FinFETs (e.g., strained SiGe for PMOS). Major foundries like Samsung and TSMC have already announced mass production of silicon-channel GAAFETs. The industry has prioritized mastering the new 3D gate structure before considering a widespread shift to novel channel materials (like 2D semiconductors for GAA), indicating a strategy of managing R&D risks and manufacturing complexity in phases. This means the industry is focused on mastering the new 3D gate architecture first, before undertaking a large-scale integration of fundamentally different channel materials, even as research into non-silicon channels for GAA remains active.


GAAFETs, particularly the nanosheet structure, introduce a new degree of freedom in transistor design by allowing for easier customization of channel width (nanosheet width) and effective width (number of stacked sheets). This may enable better optimization of standard cells and IP blocks for different performance/power targets compared to the quantized adjustments limited by the number of fins in FinFETs. The channel width in a FinFET is a quantized value determined by the number of fins; adding or removing a fin is a discrete step. In contrast, GAA nanosheets allow for continuous adjustment of the sheet width and the stacking of multiple sheets. This provides finer granularity for tuning transistor strength (drive current) for a given footprint. This flexibility can be exploited in Design-Technology Co-Optimization (DTCO) to create more efficient standard cell libraries and achieve better Power-Performance-Area (PPA) trade-offs at the circuit level. Therefore, beyond raw transistor performance gains, GAAFETs could enable more customized and efficient chip designs.


The density and performance advantages of GAAFETs might be significantly limited without simultaneous innovations like Backside Power Delivery Networks (BSPDN). An improved transistor requires an improved power delivery and interconnect scheme to realize its full potential at the system level. GAAFETs promise higher transistor density and performance. However, conventional frontside power delivery and interconnects face increasing resistance and capacitance (RC delay) bottlenecks at advanced nodes. BSPDN (like Intel's PowerVia or TSMC's Super Power Rail) aims to alleviate these issues by moving power routing to the backside, thereby freeing up frontside resources for signal routing and reducing IR drop. Without BSPDN, dense GAA transistors could be starved for power or limited by interconnect delays, thus diminishing their on-chip advantages. Therefore, the successful deployment of GAA at scale is likely intertwined with the maturity of BSPDN technology, making them co-evolving advancements. For instance, Intel's 20A node introduces both RibbonFET (GAA) and PowerVia (BSPDN) simultaneously.


Complementary FETs (CFETs): A Vertical Leap Towards Ultimate Density


Beyond GAAFETs, the semiconductor industry has set its sights on the more revolutionary Complementary FET (CFET). By vertically stacking NMOS and PMOS transistors, CFET aims to break through the limitations of traditional lateral layouts to achieve unprecedented transistor density.


Conceptual Framework: Vertically Stacked NMOS and PMOS Transistors


The core concept of a CFET is to stack NMOS and PMOS transistors vertically, either sharing a common gate or having independent gates. This is a radical departure from the side-by-side placement in traditional FinFET or planar CMOS. It can be seen as a natural evolution from GAA nanosheets—from horizontal stacking of channels within a single device to the vertical stacking of complementary device types. Its primary goal is to drastically shrink the standard cell footprint, potentially halving it compared to conventional lateral CMOS designs, thereby doubling transistor density.


Anticipated Advantages: Significant Footprint Reduction, Performance Gains, and Power Efficiency


The main driver for CFET is area scaling, promising to effectively extend Moore's Law after lateral scaling reaches its limits. The research institute imec anticipates the introduction of CFET starting from the A7 node (Angstrom era, post-2nm). In addition to density advantages, the superior electrostatic properties of the nanosheet/nanowire channels that constitute the CFET allow for further gate length scaling. Furthermore, the reduced distance between complementary transistors may decrease interconnect parasitics, which could collectively lead to faster switching speeds. The reduction in parasitic capacitance and leakage current inherent in an optimized stacked structure is also expected to enhance energy efficiency.


Key Manufacturing Hurdles


Despite its promising outlook, the manufacturing process for CFETs faces immense challenges:


  • Precision Vertical Stacking and Alignment: Fabricating one type of transistor (e.g., PMOS) precisely on top of another (e.g., NMOS) with nanometer-scale accuracy is an extremely daunting task. This includes ensuring the integrity of the bottom device is not compromised while processing the top device. The process involves complex thin-film deposition, etching, and lithography steps, where the thermal budget for the top device fabrication must not degrade the performance of the bottom device.


  • Shared Gate Implementation and Control: If a shared gate is used, it must be able to effectively control both n- and p-channels simultaneously, which have different workfunction requirements for optimal Vth​. This requires sophisticated gate stack engineering, possibly involving different workfunction metals for the n-gate and p-gate sections within a shared structure, or the use of advanced materials.


  • Complex Local Interconnects: Forming low-resistance contacts and interconnects for the source, drain, and gate terminals of both top and bottom devices within the confined space of a stacked structure is a major challenge. The "Middle Routing Wall" concept proposed by imec (in simulation) and the demonstration of viable local interconnect technology on silicon by TSMC highlight the active research in this area. High-aspect-ratio contact holes are a necessity for such structures.


  • Thermal Management: In a densely stacked vertical structure, heat dissipation is far more complex than in planar or side-by-side 3D designs. An effective thermal path is crucial to prevent overheating and performance degradation.


  • Process Integration Complexity: The overall process flow for CFETs is far more complex than for GAAFETs, involving more mask steps, novel materials, and stricter process control. This will likely impact yield and cost.


  • New Design Methodologies: Existing Electronic Design Automation (EDA) tools and design methodologies need to be adapted or completely revamped to handle the 3D nature of CFETs and fully leverage their advantages.


ESD Challenges Unique to the CFET Architecture


The unique structure of CFETs also introduces new difficulties for Electrostatic Discharge (ESD) protection:


  • Thin Nanosheet Structure with No Body Terminal: Similar to GAA, but potentially more severe in a stacked configuration, the thin nanosheets and lack of a body terminal limit the ESD discharge path, forcing current to be primarily released through the active channel.


  • Complete Isolation of N- and P-type Active Regions: The inherent complete isolation between N- and P-type active regions in a CFET complicates the implementation of traditional ESD diodes that rely on N-P junctions for protection. Implementing ESD protection in a CFET structure may require special process options, which could affect the standard process flow and increase costs.


The advent of CFET is not just a transistor-level innovation; it forces a complete re-evaluation of standard cell design, routing, and power delivery. The vertical dimension becomes a primary design space, unlike previous generations where it was mainly used for transistor construction. Planar and FinFET/GAAFET standard cells are essentially 2D in their N-P device layout. CFET, however, makes the N-P layout 3D (vertical). This directly impacts cell height, track height, and intra-cell routing. Powering and signaling these stacked devices will require novel approaches (e.g., backside power, middle routing walls for local interconnects as seen in imec's work). Therefore, CFET demands a much tighter integration of process technology development and circuit design from a very early stage to realize its density and performance benefits. It's not just about building a better transistor, but about rethinking how a fundamental logic gate is constructed and connected.


The extreme precision required for CFET manufacturing, especially in aligning stacked layers and patterning shared gates or complex local interconnects, will likely make High-Numerical Aperture Extreme Ultraviolet (High-NA EUV) lithography a strong enabler, if not a prerequisite, for high-volume manufacturing. However, High-NA EUV is itself a nascent and expensive technology. CFET involves the precise, aligned stacking of NMOS and PMOS transistors. TSMC has mentioned that CFET is expected to require extremely precise lithography (High-NA EUV tools). Intel's 18A node, while pre-CFET (RibbonFET + PowerVia), is already considering the use of High-NA EUV. The successful industrialization of CFET may depend on the maturity, availability, and cost-effectiveness of High-NA EUV. This creates a co-dependency: CFET needs advanced lithography, and the business case for massive investment in High-NA EUV is strengthened by "killer app" technologies like CFET that demand its resolution.


Given its immense complexity and cost, the initial adoption of CFET will likely not be universal across all applications. It may first appear in high-value, density-driven applications (e.g., L1/L2 caches in CPUs, specific AI accelerator components), while other applications may continue to use advanced GAAFETs for a longer period. CFET manufacturing is significantly more complex than GAAFET. Higher complexity generally means higher initial manufacturing costs and potentially lower yields in the early stages. The primary advantage of CFET is a dramatic increase in transistor density. Applications where area scaling is paramount and can justify a cost premium (e.g., SRAM in HPC, mobile SoCs where die size is critical) are likely to be early adopters. Applications that are less density-constrained or more cost-sensitive may stick with mature GAAFET nodes until CFET costs come down and its reliability is proven in a wider range of designs. This could lead to a bifurcation in technology adoption based on application-specific PPA(C) requirements.


Industry Roadmaps: Charting the Path to Angstrom-Scale Logic


As FinFET technology approaches its physical limits, the world's leading semiconductor manufacturers and research institutions are actively positioning themselves for the post-FinFET era of transistor technology, aiming to enter the "Angstrom era" of logic devices.


Strategies and Timelines of Key Industry Leaders


  • TSMC:

    • N2 (2nm-class): This is TSMC's first node to adopt GAA nanosheet transistors. High-volume manufacturing (HVM) is expected in the second half of 2025. Compared to the N3E node, N2 offers a 10-15% performance improvement or a 25-30% power reduction.

    • N2P, A16 (1.6nm): As a successor to N2, N2P is an enhanced version. The A16 node will introduce "Super Power Rail" (SPR, TSMC's BSPDN technology) and next-generation nanosheet transistors. Both N2P and A16 are targeted for HVM in the second half of 2026. A16 can be seen as N2P with BSPDN, allowing for IP reuse.

    • CFET Research: TSMC has successfully fabricated operational CFET devices in its labs and demonstrated a CFET inverter with a 48nm gate pitch (equivalent to a 5nm node) at the 2024 International Electron Devices Meeting (IEDM). However, TSMC has stated that CFET technology is still "several generations" away from mass production, likely post-2030. TSMC views CFET as the long-term successor to GAAFET.


  • Samsung Foundry:

    • 3nm GAA (SF3): Samsung was the first to achieve mass production of GAA technology (which it calls MBCFET™ - Multi-Bridge Channel FET) in June 2022 (1st Gen 3nm). The second-generation 3nm process (SF3) is planned for mass production in the second half of 2024. It offers significant PPA (Performance, Power, Area) improvements over 5nm FinFET.

    • 2nm GAA (SF2): Mass production is planned to begin in 2025.

    • SF2Z: This is a 2nm process incorporating an optimized BSPDN, targeted for mass production in 2027. Compared to the first-generation 2nm node SF2, SF2Z will provide PPA improvements and reduce IR drop.

    • SF1.4 (1.4nm): Preparations are proceeding smoothly, with mass production targeted for 2027, with performance and yield targets on track.

    • CFET Research: Samsung showcased its CFET R&D at IEDM 2023. In collaboration with IBM, it has proposed a "stepped" CFET design, which uses a wider bottom NFET channel and a narrower top PFET channel, aiming to simplify contact hole formation, but potentially at the cost of some scaling capability.


  • Intel Foundry:

    • Intel 20A (2nm-class): This node introduces RibbonFET (Intel's GAA transistor architecture) and PowerVia (Intel's BSPDN technology). Production ramp-up is expected from late 2024 to early 2025. Learnings from 20A will be directly applied to 18A.

    • Intel 18A (1.8nm-class): Further refines RibbonFET and PowerVia technology. It is planned to be manufacturing-ready in 2025, aiming to secure leadership in the foundry market. This node may adopt High-NA EUV lithography.

    • Future Nodes (Post-18A): Intel has announced the Intel 14A and 14A-E nodes.

    • CFET Research: Intel presented its CFET R&D at IEDM 2023. While no CFET-related work was shown at IEDM 2024, it has demonstrated progress in previous years.


  • Imec (Research Consortium):

    • CFET Concept: Imec is actively developing the CFET architecture, expecting its introduction from the A7 node (Angstrom era, post-2nm). They have proposed a conceptual 4T CFET cell with a shared track and BSPDN, and are focused on reducing the complexity of source/drain contacts (simulating a "middle routing wall").

    • 2D Material Integration in CFET: Imec proposes using 2D materials for the channels in CFETs at the A2 node and beyond to overcome the limitations of silicon channel thickness.

    • Forksheet FETs: Imec is also investigating Forksheet FETs as an intermediate step or alternative, offering a smaller N-P spacing than standard GAA nanosheets.


Insights from the International Roadmap for Devices and Systems (IRDS)


The IRDS forecasts provide an important reference for post-FinFET technology development. The report indicates that at technology nodes below 5nm, the physical gate length scaling of FinFETs will hit a bottleneck at 14nm for low-power (LP) applications and 12nm for high-performance (HP) applications, underscoring the necessity of new architectures. GAA (including nanowires and nanosheets) is identified as the key development direction for the post-FinFET era. Looking ahead, devices beyond current GAA concepts, such as stacked nanowires/nanosheets, single-wall carbon nanotubes (SWNTs), and FETs based on 2D materials, are considered potential technologies to achieve gate lengths below 10nm. Furthermore, the IRDS highlights novel device structures like Negative Capacitance FETs (NCFET) and Tunnel FETs (TFET) that promise to overcome power consumption issues by achieving a subthreshold swing below 60 mV/dec.


The following table summarizes the roadmaps of major semiconductor manufacturers for post-FinFET technologies:


Table 1: Post-FinFET Technology Industry Roadmap Summary


Manufacturer

Announced Node Name

Key Transistor Architecture

Key Supporting Technology

Announced HVM / Readiness Timeline

Key Claimed PPA Benefits (vs. Prior Node)

TSMC

N2

GAA Nanosheet


2H 2025

vs. N3E: 10-15% perf. gain or 25-30% power reduction


N2P

Enhanced GAA Nanosheet


2H 2026

vs. N2: 5-10% perf. gain or 5-10% power reduction


A16

Next-Gen GAA Nanosheet

Super Power Rail (SPR - BSPDN)

2H 2026

vs. N2P: 8-10% perf. gain or 15-20% power reduction


CFET Research

Vertically Stacked Nanosheet (NMOS/PMOS)

Expected to require High-NA EUV

Several generations away (Post-2030)

Theoretical advantages in density, performance, power

Samsung

SF3 (3nm)

MBCFET™ (GAA)


2022 (1st Gen) / 2H 2024 (2nd Gen)

vs. 5nm FinFET: 35% area reduction, 30% perf. gain or 50% power reduction


SF2 (2nm)

MBCFET™ (GAA)


2025



SF2Z

MBCFET™ (GAA)

Optimized BSPDN

2027

vs. SF2: PPA improvement, reduced IR drop


SF1.4

MBCFET™ (GAA)


2027



CFET Research

Stepped Vertically Stacked Nanosheet (w/ IBM)


In R&D

Simplifies contact hole formation

Intel

Intel 20A

RibbonFET (GAA)

PowerVia (BSPDN)

Late 2024 - Early 2025



Intel 18A

Improved RibbonFET (GAA)

Improved PowerVia (BSPDN), possible High-NA EUV

2025

Better scaling and efficiency vs. Intel 20A


Intel 14A

RibbonFET (GAA) or more advanced


TBD



CFET Research

Vertically Stacked RibbonFET


In R&D



These roadmaps clearly indicate that entering the "Angstrom era" (e.g., Intel's 20A/18A, TSMC's A16) relies not just on dimensional scaling, but more fundamentally on the successful co-integration of new transistor architectures (GAA, then CFET), new structural elements (like BSPDN), and eventually, new materials (like 2D semiconductors). Intel's 20A node combines RibbonFET (GAA) with PowerVia (BSPDN). TSMC's A16 node combines next-gen nanosheets with Super Power Rail (BSPDN). Imec's roadmap explicitly links the introduction of CFET (A7 node) with the eventual need for 2D material channels at more advanced nodes (A2). This shows that future progress is no longer about isolated transistor improvements but a holistic, system-level integration of multiple complex innovations happening in parallel. The "node name" itself has become more of a banner for a suite of new technologies rather than just a proxy for gate length.


At the same time, companies are planning multiple versions of their leading-edge nodes (e.g., TSMC's N2, N2P, N2X, A16; Intel's 18A and its special versions like 18A-PT). This indicates a trend towards application-specific optimization at the most advanced technologies, rather than a one-size-fits-all approach, likely driven by the diverse needs of different markets such as AI, HPC, and mobile. TSMC offers N2 (baseline GAA), N2P (enhanced PPA), A16 (N2P + BSPDN for HPC/AI), and N2X (max performance for high-end client/data center). Intel is also expanding its 18A family with custom versions. This diversification reflects the growing difficulty and cost of developing a single node to optimally serve all market segments. Different applications have different priorities for PPA (Power, Performance, Area) and cost. HPC/AI may prioritize raw performance and density (benefiting from A16's BSPDN), while mobile may prioritize power efficiency (N2P). This leads to a more fragmented but potentially more optimized landscape for cutting-edge semiconductor offerings.


The consistent appearance of backside power technology (BSPDN) in the roadmaps of all major players for 2nm and beyond (TSMC's SPR, Intel's PowerVia, Samsung's SF2Z) highlights a universal consensus on its necessity to overcome power density and interconnect bottlenecks. Intel introduces PowerVia at its 20A (GAA) node. TSMC introduces Super Power Rail at its A16 (advanced GAA) node. Samsung introduces BSPDN at its SF2Z (2nm GAA) node. Imec also includes BSPDN in its conceptual CFET designs. This independent convergence on BSPDN by major players underscores its fundamental importance. It is not an optional add-on but a core enabling technology to make future transistor architectures viable at scale and to solve critical power delivery and signal integrity issues.


Materials Innovation: Enabling Future Transistor Geometries


As transistor dimensions continue to shrink to the nanometer and even angstrom scale, the physical limits of traditional silicon-based materials are becoming increasingly prominent. To extend Moore's Law and achieve higher-performance, lower-power devices, materials innovation has become an indispensable key.


The Potential of 2D Materials (TMDs) in Ultra-thin Channels


When the thickness of silicon channels in CFETs or GAAFETs is reduced to below 10nm (e.g., as required for the A2 node), carrier mobility drops sharply, and quantum confinement effects become exceptionally severe. At such thin scales, the surface roughness and defects of silicon also become critical issues.


Two-dimensional (2D) materials, particularly Transition Metal Dichalcogenides (TMDs) such as Molybdenum Disulfide (MoS₂), Tungsten Diselenide (WSe₂), and Tungsten Disulfide (WS₂), offer a highly promising solution to overcome these limitations. Their main advantages include:


  • Atomically Thin Channels: TMDs can achieve channels with a thickness of a single atomic layer (around 0.7nm). Even at gate lengths below 10nm, this ultra-thin channel can provide excellent electrostatic gate control.


  • Superior Interface Properties: 2D materials have naturally smooth and chemically saturated surfaces, which can form a higher quality channel-dielectric interface compared to silicon.


  • High Carrier Mobility (Potential): Certain TMDs exhibit significantly higher electron mobility than silicon at comparable ultra-thin dimensions. However, consistently achieving this in practical devices remains a challenge.


  • Tunable Bandgap: The bandgap of TMDs can be tuned by changing their composition, thickness, and strain, providing flexibility for device design.


Research institutes like imec have proposed using 2D materials as the channel material for CFETs at the A2 node and beyond to break through the limitations of silicon.


Challenges in the High-Volume Manufacturing Integration of 2D Materials


Despite their immense potential, integrating 2D materials into high-volume manufacturing flows faces numerous challenges:


  • Large-Area, Defect-Free Growth/Transfer: Synthesizing wafer-scale, high-quality, uniform 2D films (e.g., via Chemical Vapor Deposition, CVD), or transferring exfoliated/grown sheets without introducing defects or contamination, is a major hurdle. Imec has demonstrated a 300mm MX₂ dry transfer technology.


  • Low-Resistance Contacts: Forming low-Schottky-barrier, Ohmic-like contacts on 2D materials is extremely difficult. Techniques from traditional silicon processes, such as heavy doping and silicide formation, are not directly applicable or effective here. Research directions include metal selection (e.g., Yttrium-doped contacts to MoS₂, or using Antimony semimetals) and interface engineering.


  • Controllable Doping: Doping 2D materials to achieve Vth​ control and reduce access resistance is very challenging. Ion implantation damages the ultra-thin lattice; alternative methods like electrostatic doping or surface functionalization are being explored but are not yet mature.


  • Dielectric Compatibility: Integrating high-κ dielectrics onto 2D channels without degrading the 2D material or the interface quality is crucial. The lack of dangling bonds on the surface of 2D materials makes the nucleation of ALD high-κ films difficult.


  • CMOS Integration (N-type and P-type): Finding a single 2D material that works optimally for both N-FETs (e.g., MoS₂) and P-FETs (e.g., WSe₂) is difficult. This may require the co-integration of different 2D materials or breakthroughs in controlling ambipolar 2D devices. Imec and Intel have demonstrated 300mm WSe₂ P-FETs.


  • Metrology and Reliability: Characterizing and ensuring the reliability of atomic-scale channels and devices requires new metrology techniques and an understanding of unique degradation mechanisms. Defects, grain boundaries, layer uniformity, and interface quality are all critical.


Progress in Contact, Dielectric, and Interconnect Technologies for Nanoscale Devices


Beyond innovations in channel materials, advancements in contact, dielectric, and interconnect technologies are also crucial for the performance of nanoscale devices:


  • Contacts: Barrier-free tungsten gate contacts (a technology adopted in TSMC's N2 node) have shown a significant RC (resistance-capacitance) reduction (up to 55%), translating into a performance gain (over 6% in ring oscillators). This highlights the critical role of contact engineering.


  • Dielectrics: An optimized M1 layer, achieved through novel EUV patterning techniques and potentially better dielectric materials, can reduce standard cell capacitance by about 10% (TSMC's N2 node). The High-κ Metal Gate (HKMG) was a key materials innovation introduced much earlier.


  • Interconnects: As the importance of interconnects in scaling becomes equal to that of the transistor itself, reducing the RC of metal layers (e.g., RC reductions of 19% and 25% for ArFi layers in TSMC's N2 node) is vital. The so-called "decade of materials" is driving these improvements.


While silicon channel engineering has become exceedingly complex (e.g., strain, complex doping profiles), it is hitting fundamental material limits. 2D materials, despite their own integration challenges, offer a path to bypass some of silicon's inherent bottlenecks at ultra-thin dimensions, potentially simplifying certain aspects of channel control if the integration hurdles can be overcome. When silicon channel thickness falls below ~3-5nm, it suffers from severe mobility loss, surface roughness issues, and quantum confinement effects. In contrast, 2D materials offer an intrinsically smooth, ultra-thin (~0.7nm) channel with potentially high mobility. This could alleviate the need for some of the complex strain engineering or hyper-abrupt doping profiles required in deeply scaled silicon. If large-scale, high-quality 2D material integration can be achieved, it could provide a "cleaner" channel system, shifting complexity from intricate silicon processing to 2D material synthesis and contact engineering.


This is not to say 2D integration is easy, but it changes the nature of the materials challenge.

The feasibility of future architectures like CFET at extremely advanced nodes (e.g., A2) is explicitly linked by researchers like those at imec to the successful integration of new materials (2D TMDs). This means that progress in transistor architecture and materials science must be tightly synchronized. CFET aims for ultimate density through vertical stacking. For these stacked channels to be effective at extremely short gate lengths (e.g., <10nm at the A2 node), the channels themselves must be incredibly thin. Silicon cannot meet these ultra-thin channel requirements without severe performance degradation. Therefore, 2D materials are proposed as the solution for these ultra-thin CFET channels. This creates a direct dependency: without mature 2D material technology, the CFET roadmap could stall beyond a certain point. This differs from the FinFET-to-GAAFET transition, where the primary channel material (silicon) initially remained the same.


The unique properties and atomic scale of 2D materials demand new, highly sensitive metrology techniques for process control in a high-volume manufacturing (HVM) environment. Existing semiconductor metrology methods may be insufficient. The IRDS predicts the integration of 2D materials into HVM within the next decade. Assessing the structural, electrical, compositional, and mechanical properties of these atomically thin layers requires a diverse set of characterization methods. Key parameters include material quality, coverage, uniformity, defect density, stacking order, number of layers, contamination, and interface control. Relevant techniques must have nanoscale resolution and sensitivity to a range of properties, while minimizing probe-sample interactions. Optical techniques show promise for large-area inspection, while Scanning Electron Microscopy (SEM)/Transmission Electron Microscopy (TEM) and Scanning Probe Microscopy (SPM) are used for detailed analysis. The lack of mature, HVM-compatible metrology for these parameters could hinder process development, yield ramp, and overall adoption of 2D materials, making metrology R&D a critical path item.


Comparative Analysis and Future Outlook


As semiconductor technology transitions from the FinFET era towards the GAAFET and potential CFET eras, a comprehensive comparative analysis of the different transistor architectures and a forward look at their impact on future computing paradigms and the industry landscape are essential.


A. PPAC (Performance, Power, Area, Cost) Comparison: FinFET vs. GAAFET vs. CFET vs. Forksheet


The following table summarizes a comparison of key characteristics of different transistor architectures:


Table 2: Transistor Architecture Comparison


Feature

FinFET

GAAFET Nanosheet

Forksheet FET

CFET

Basic Structure

Vertical fin-shaped channel, 3-sided gate

Horizontally stacked nanosheet channels, 4-sided gate

N/P nanosheets separated by a dielectric wall for closer N-P pitch

NMOS & PMOS vertically stacked, shared or independent gates

Channel Material (Typical)

Silicon

Silicon (initially), future SiGe, Ge, III-V, 2D

Silicon (initially), future SiGe, Ge, III-V, 2D

Silicon (initially), future 2D materials (e.g., at A2)

Gate Control

3-sided

4-sided (Gate-All-Around)

4-sided (Gate-All-Around)

4-sided (for each stacked NMOS/PMOS)

Main Electrostatic Advantage

Improved vs. Planar MOSFET

Superior to FinFET, better SCE control

Similar to GAA Nanosheet, but with smaller N-P pitch

Inherits GAA benefits, stacking may offer further optimization

Main Scaling Benefit

Area/Performance (vs. Planar)

Performance/Power/Area (vs. FinFET)

Area (especially SRAM), Performance

Area (potential for ~2x density)

Key Manufacturing Challenges

Narrow fin patterning, parasitics, VT​ control

Si/SiGe stack & selective etch, inner spacer, multi-VT​, BDI

Fork structure formation, precise N-P isolation wall

Precision vertical stacking & alignment, shared gate, complex local interconnect, thermal management, ESD

Relative Process Complexity/Cost

Medium (Mature)

High

Higher than GAA Nanosheet

Very High

Primary Application Focus/Node Intro

Mainstream (e.g., down to 5nm/3nm)

Advanced nodes (e.g., starting at 3nm/2nm)

SRAM, future logic nodes

Angstrom-scale nodes (e.g., post-A7)



  • FinFET: As the baseline, it's a mature technology but faces scaling limits, with higher short-channel effects and parasitics at advanced nodes.


  • GAAFET (Nanosheet):

    • Performance/Power: Compared to a same-node FinFET, its superior electrostatic control leads to better performance (higher drive current) and lower power (reduced leakage, lower operating voltage).

    • Area: Provides area scaling benefits. Samsung's 3nm GAA process claims a 35% area reduction over its 5nm FinFET.

    • Cost: More complex to manufacture than FinFET (e.g., Si/SiGe epitaxy, selective etch), leading to potentially higher initial costs.


  • Forksheet FET (FSH):

    • An evolution of the GAA nanosheet where N-FET and P-FET nanosheets are brought closer together via a dielectric wall (the "fork"), thus shrinking the N-P pitch.

    • Area: Forksheet offers better area scaling benefits in SRAM applications compared to standard nanosheets due to the reduced N-P spacing.

    • Performance: Can achieve comparable performance to nanosheets at larger sheet widths due to its area advantage, but nanosheets may perform better at smaller metal pitches where sheet width is constrained.

    • Complexity: Adds some process complexity compared to nanosheets.


  • CFET:

    • Area: Has the potential for a ~2x density improvement (halving the footprint) compared to lateral structures (GAA/FinFET) due to vertical stacking. This is its primary PPAC driver.

    • Performance/Power: Performance and power are expected to improve due to shorter interconnects and the better electrostatic properties of the constituent nanosheets.

    • Cost/Complexity: Manufacturing complexity and cost are significantly higher due to vertical integration, thermal management, precise alignment, and new interconnect schemes. TSMC notes the technology is "several generations" from HVM and will require precise lithography (High-NA EUV).


  • Cost Consideration: While new architectures promise PPA benefits, the transition from FinFET to GAA to CFET generally involves increased process complexity and, therefore, higher initial wafer costs. The economic viability depends on whether the PPA gains are sufficient to justify these costs for the target application.


The following table summarizes the key manufacturing challenges and potential solutions for advanced transistors:


Table 3: Key Manufacturing Challenges & Potential Solutions for Advanced Transistors (GAAFETs & CFETs)


Transistor Type

Specific Challenge Area

Detailed Challenge Description

Potential Solutions / Current Approaches

GAAFET Nanosheet

Nanosheet Release

Precise control of alternating Si/SiGe epi-layer thickness; selective etching of SiGe without damaging Si channels; avoiding Si-SiGe thermal inter-diffusion.

Optimized epitaxy parameters; high-selectivity etch chemistries & processes; thermal budget control.


Inner Spacer Formation

Precisely defining the gate length and isolating the gate from the source/drain.

Precision deposition and etching techniques.


Multi-V_T Strategy

Integrating different workfunction metals in a confined space to achieve multiple threshold voltages.

Selective WFM deposition/etch; adjusting inter-nanosheet spacing (Tsus); dipole layer technology.


Bottom Dielectric Isolation (BDI)

Integrating a BDI layer to reduce leakage, which can lower SiGe etch selectivity and cause Si channel loss.

Optimizing Ge concentration in SiGe layers and BDI integration process; precise etch control.


ESD Reliability (w/ BSPDN)

Substrate thinning impacts ESD device performance; BSPDN introduces new ESD path considerations.

Active backside ESD technology; optimized ESD diode design.

CFET

Precision Vertical Stacking & Alignment

Precisely stacking NMOS on PMOS at the nanoscale while ensuring the integrity of the bottom device.

Advanced lithography (potentially High-NA EUV); low-thermal-budget top-device processing; precision alignment techniques.


Shared Gate Implementation & Control

If a shared gate is used, it must effectively control both N/P channels, meeting different workfunction needs.

Complex gate stack engineering; selective integration of different workfunction metals; advanced gate materials.


Complex Local Interconnect

Forming low-resistance contacts and interconnects for top and bottom devices in a confined, stacked structure.

High-aspect-ratio contact etch and fill technologies; imec's "middle routing wall" concept (simulated); TSMC has demonstrated viable local interconnects.


Thermal Management

Dense vertical stacking makes heat dissipation difficult, leading to overheating and performance degradation.

Novel thermal materials and structural designs; thermal-aware design methodologies.


ESD Challenges

Thin nanosheet structure with no body terminal limits ESD path; complete N/P active region isolation makes traditional ESD diodes difficult to implement.

Novel ESD protection structure design; special process options compatible with the standard flow.

GAAFET/CFET (w/ 2D Materials)

2D Material Integration - Contact

Forming low-resistance, Ohmic-like contacts on 2D materials is extremely challenging.

Metal selection and workfunction matching (e.g., Y-doped MoS₂); interface engineering; edge contact techniques.


2D Material Integration - Doping

Traditional ion implantation damages the ultra-thin lattice; alternative doping methods are immature.

Electrostatic doping; surface chemical functionalization; research into alternative dopant sources.


2D Material Integration - Growth/Transfer

Achieving wafer-scale, high-quality, uniform 2D films and transferring them without damage to the target substrate.

Optimization of CVD and other growth techniques; improvements in dry/wet transfer methods (e.g., imec's 300mm MX₂ dry transfer).



B. Impact on Future Computing Paradigms


The development of these advanced transistor technologies will have a profound impact on future computing paradigms:


  • Artificial Intelligence (AI) and High-Performance Computing (HPC):

    • The insatiable demand for immense computational power and memory bandwidth in AI and HPC drives the quest for the highest transistor density and performance.

    • Advanced nodes (GAA, CFET, and technologies like A16 with BSPDN) are critical for the evolution of AI chips.

    • However, some experts suggest that even the current pace of progress may not be sufficient to keep up with the demands of AI, especially as the "memory wall" problem becomes more acute.

    • Compute-in-Memory (CIM) is considered a potential long-term solution to the memory wall, improving efficiency by reducing data movement. The combination of 3D stacked SRAM and CIM promises significant energy and latency benefits.


  • Mobile Computing:

    • Mobile computing requires a balance between performance, low power consumption, and small chip size.

    • GAAFETs offer advantages in reducing power consumption and boosting the performance of mobile SoCs.

    • CFET, with its density advantage, could enable more complex SoC designs while maintaining a small form factor or extending battery life.


  • Memory Technology:

    • While GAA nanosheets improve SRAM write-ability, at the 3nm node, their read latency and energy consumption may be worse than FinFET due to higher parasitics and area. Forksheet helps to improve SRAM area and read latency.

    • CFET promises to significantly increase SRAM bit density.

    • 2D materials show potential in embedded DRAM (eDRAM) applications due to their extremely low off-state current.

    • The evolution of logic transistors often influences memory cell design and its peripheral circuitry.


C. Long-Term Research Directions and Potential Breakthroughs


Looking ahead, the exploration of semiconductor technology will continue to expand into deeper and broader domains:


  • Beyond CFET: Exploring more futuristic device concepts such as NCFETs and TFETs, which aim for a sub-60mV/dec subthreshold swing; FETs based on 2D materials (beyond just channel applications); and Carbon Nanotube FETs.

  • Monolithic 3D Integration (M3D): Stacking multiple layers of devices/circuits, for which CFET can be considered a foundational step. M3D integration using low-dimensional materials (like 2D materials) is a very promising path.

  • Advanced Packaging: Continues to play a key role, with innovations like EMIB (Intel) and 3D stacking of chiplets constantly emerging.

  • Overcoming the "Tyranny of the Interconnect": Innovations in the materials and architecture of interconnects are as important as the evolution of the transistor itself.


The "PPAC" equation becomes more complex and application-specific with the advent of these advanced and intricate architectures. While "PPAC" (Performance, Power, Area, Cost) has long been the industry mantra, the "C" (Cost) and the trade-offs between P, P, and A are becoming increasingly nuanced. FinFET is mature and relatively cost-effective for many applications. GAAFET offers PPA benefits at a higher initial manufacturing complexity and cost. Forksheet optimizes area specifically for SRAM, with trade-offs elsewhere. CFET promises immense area gains but at a significantly higher complexity and cost, potentially making it viable only for applications where density is paramount and can absorb the cost. This means different market segments (HPC/AI, mobile, automotive, IoT) will likely adopt these technologies at different paces, or even select different architectural variants based on their specific PPAC needs. The "one-size-fits-all" approach is fading.


Simultaneously, the very definition of "scaling" is expanding beyond mere transistor density. While CFET directly addresses transistor density (area scaling), the broader industry challenges (power wall, memory wall, interconnect bottleneck) mean that "scaling" now encompasses improvements in power delivery (BSPDN), interconnects (new materials, routing), memory integration (CIM, HBM), and packaging (3D chiplets). Moore's Law traditionally focused on doubling the number of transistors. CFET continues this trend through vertical stacking. However, system performance is increasingly limited by power delivery (IR drop), interconnect RC delay, and memory bandwidth/latency. Therefore, innovations like BSPDN, advanced interconnect materials, Compute-in-Memory, and 3D packaging are becoming equally critical components of "scaling" overall system performance and efficiency. The future roadmap is a multi-faceted progression where transistor scaling is just one, albeit crucial, vector of progress.


A symbiotic relationship exists between advanced logic and AI/HPC. The massive computational demands of AI and HPC are the primary justification for the huge R&D investments in GAAFET, CFET, and enabling technologies like BSPDN and advanced packaging. In turn, the advent of these advanced semiconductor technologies will fuel further breakthroughs in the AI/HPC domain. AI/HPC requires chips with the highest possible performance and transistor density. TSMC's A16 (GAA + BSPDN) is explicitly targeted at AI/HPC. Intel's 18A (RibbonFET + PowerVia) also aims to power AI computation. The high cost and complexity of developing these nodes are, in part, offset by the high value and rapid growth of the AI/HPC market. This creates a feedback loop: AI/HPC demand drives semiconductor innovation, and semiconductor innovation enables more powerful AI/HPC, accelerating progress in both fields.


Conclusion: Navigating the Complex Landscape of Next-Generation Semiconductors


As the semiconductor industry moves from the brilliant era of FinFET towards the refinement of GAAFET and the vertical leap of CFET, it stands at a critical juncture. This path is laden with unprecedented opportunities and challenges, requiring the collective effort and continuous innovation of the entire ecosystem.


Summary of Key Progress and Persistent Challenges


In retrospect, the emergence of FinFET technology successfully extended the life of Moore's Law, but its inherent physical and manufacturing limitations have led it to a bottleneck at advanced nodes. GAAFET, particularly the nanosheet structure, stands as the current frontier solution, providing superior electrostatic control through its gate-all-around design, paving the way for the realization of 2nm nodes and beyond. However, its manufacturing complexities, such as precise Si/SiGe stacking, selective etching, inner spacer formation, and multi-threshold voltage control, remain significant hurdles to overcome.


Looking forward, CFET, with its revolutionary vertical stacking architecture, offers an enticing prospect for achieving ultimate transistor density. However, precision vertical alignment, effective control of shared gates, complex local interconnects, and severe thermal management and ESD issues pose extremely high demands on manufacturing technology. Overcoming these challenges will be the key to CFET's successful commercialization.

Throughout this process, materials innovation plays a crucial role. From the materials and processes required for efficient Backside Power Delivery Networks (BSPDN), to the development of advanced contacts, dielectrics, and interconnect materials, and even the highly anticipated 2D materials expected to break through the limits of silicon channels—all will profoundly influence the development trajectory of future transistor architectures.


The Necessity of Collaboration: Industry, Academia, and Consortia


Faced with increasingly complex technological challenges and enormous R&D investments, no single entity can bear the burden alone. Therefore, close collaboration between semiconductor manufacturers, equipment/materials suppliers, R&D consortia like imec, and academic institutions such as universities has become more important than ever. Through resource sharing, knowledge exchange, and collaborative problem-solving, the entire industry can more effectively tackle challenges and accelerate the pace of innovation. Where appropriate, open innovation models can also help stimulate new ideas and solutions.


Final Thoughts: The Unrelenting Pursuit of Computational Power


The history of the semiconductor industry is a history of continuous innovation and breaking through limits. Although the path to next-generation semiconductors is increasingly complex and costly, the perpetual quest for more powerful, more efficient, and more compact computing solutions will continue to drive the industry to explore and ultimately realize technologies beyond FinFET. These efforts will not only reshape the future of electronic products but will also provide a constant source of momentum for the advancement of human society.


The development of future transistors, like CFET, is no longer just about the progress of an isolated component but rather a co-designed system involving new architectures, materials, manufacturing processes, power delivery schemes, and design tools, all of which must evolve in concert. Early transistor scaling often focused on shrinking existing structures or improving doping. FinFET introduced 3D complexity. GAAFET added more intricate 3D structures and processes. CFET adds vertical stacking, shared gates, complex local interconnects, and severe thermal/ESD issues, requiring co-optimization with BSPDN and perhaps even new channel materials like 2D TMDs from the very beginning. This holistic, system-level approach to innovation is a defining characteristic of the post-FinFET era, where the transistor can no longer be optimized in isolation from its surroundings (power, interconnects, package, design tools).


Furthermore, while Moore's Law (density scaling) remains a powerful driver, the growing diversity of applications (AI, HPC, IoT, Automotive) and their varying PPAC requirements will likely lead to a future where multiple advanced transistor technologies coexist, optimized for different end markets, rather than being dominated by a single, "leading-edge" technology that serves all. Historically, a single leading-edge node served most high-end applications. The development cost and complexity of GAA, and especially CFET, are immense. Different applications have vastly different needs: AI demands raw computational power and memory bandwidth, IoT requires ultra-low power, and automotive demands reliability under extreme conditions. We are already seeing foundries offer variants of their advanced nodes (e.g., TSMC's N2, N2P, A16; Intel's 18A family). This trend is likely to accelerate, with CFET potentially being used for density-critical applications while specialized GAAFETs or even mature FinFETs continue to serve others, leading to a more diverse technological landscape rather than a single, linear progression. The "best" transistor will be context-dependent.




Reference

Journals & Conference Proceedings


  • Alian, A., et al. (2025). "High Power/PAE (27.8dBm/66%) Emode GaN-on-Si MOSHEMTs for 5V FR3 UE Applications." 2025 Symposium on VLSI Technology and Circuits. URL

  • Arimura, H., et al. (2023). "Molybdenum Nitride as a Scalable and Thermally Stable pWFM for CFET." 2023 Symposium on VLSI Technology and Circuits. URL

  • Beyne, E., et al. (2023). "Nano-Through Silicon Vias (nTSV) for Backside Power Delivery Networks (BSPDN)." 2023 Symposium on VLSI Technology and Circuits. URL

  • Chen, W.-C., et al. (2023). "Upcoming Challenges of ESD Reliability in DTCO with BS-PDN Routing via BPRs." 2023 Symposium on VLSI Technology and Circuits. URL

  • Hisamoto, D., Lee, W.-C., Kedzierski, J., Takeuchi, H., Asano, K., et al. (2000). "FinFET—A Self-Aligned Double-Gate MOSFET Scalable to 20 nm." IEEE Transactions on Electron Devices, 47(12), 2320–2325. URL

  • Horiguchi, N. (2023). "CMOS scaling by FinFETs is coming to the end." Silicon Nanoelectronics Workshop (SNW) 2023. Imec. URL

  • Intel. (2023). "Intel PowerVia Technology: Backside Power Delivery for High Density and High-Performance Computing." 2023 IEEE Symposium on VLSI Technology and Circuits. URL

  • Moeneclaey, B., et al. (2025). "A 7-bit 150-GSa/s DAC in 5nm FinFET CMOS." 2025 Symposium on VLSI Technology and Circuits. URL

  • Mukesh, S., & Zhang, J. (2022). "A Review of the Gate-All-Around Nanosheet FET Process Opportunities." Electronics, 11(21), 3589. URL

  • Neisser, M. (2021). "International Roadmap for Devices and Systems lithography roadmap." Journal of Micro/Nanopatterning, Materials, and Metrology, 20(4). URL

  • Peking University Research Team. (2024). "Gate-all-around transistors based on wafer-scale stacked multilayer single-crystalline 2D nanosheets." Nature Electronics. URL

  • Sisto, G., et al. (2023). "Block-level Evaluation and Optimization of Backside PDN for High-Performance Computing at the A14 Node." 2023 Symposium on VLSI Technology and Circuits. URL

  • TSMC. (2023). "Complementary Field-Effect Transistor (CFET) Demonstration at 48nm Gate Pitch for Future Logic Technology Scaling." 2023 IEEE International Electron Devices Meeting (IEDM). URL

  • Vega-Gonzalez, V., et al. (2023). "Integration of a Stacked Contact MOL for Monolithic CFET." 2023 Symposium on VLSI Technology and Circuits. URL

  • Yang, S., et al. (2023). "PPA and Scaling Potential of Backside Power Options in N2 and A14 Nanosheet Technology." 2023 Symposium on VLSI Technology and Circuits. URL


Industry Reports & White Papers


  • International Roadmap for Devices and Systems (IRDS). (2015-2022). Various reports including "More Moore," "Lithography Roadmap," and general editions. IEEE. URL


Web Articles & News Releases


  • AnandTech. (2023, April 26). "TSMC Outlines 2nm Plans: N2P Brings Backside Power Delivery in 2026, N2X Added to Roadmap." URL

  • Applied Materials. (2023, December). "Charting a Course Through Next-Era Technology Inflections." URL

  • Freeman, D. (2024, January 8). "IEDM 2023: The Trillion-Transistor Dilemma." 3DInCites. URL

  • Imec. (2021-2024). Various articles and announcements regarding Forksheet, CFET, and VLSI Symposium presentations. URL

  • Intel Newsroom. (2024, February 21). "Intel Launches World's First Systems Foundry Designed for the AI Era." URL

  • Intel Newsroom. (2024). "Intel Foundry Unveils Technology Advancements at IEDM 2024." URL

  • RCR Wireless News. (2024, September 20). "Checking in on the Intel 'five nodes in four years' plan." URL

  • Robinson, D. (2023, December 11). "Intel shows off backside power, stacked transistors for future chips." The Register. URL

  • Samsung Newsroom. (2022, June 30). "Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture." URL

  • SemiAnalysis. (2024, January 3). "Intel GenAI for Yield, TSMC CFET, and IEDM 2023." URL

  • SemiEngineering. (2024). "Building CFETs With Monolithic And Sequential 3D." URL

  • SemiWiki. (2023, December 20). "A tale of three CFETs." URL

  • TechDesignForums. (2021, June 15). "Imec cuts transistor gap to less than 20nm with forksheets." URL

  • TechPowerUp. (2023, December 18). "Intel, TSMC, and Samsung, Demo CFETs at IEEE IEDM Conference, Near-Doubling in Transistor Densities in Sight." URL

  • Tom's Hardware. (2019, January 11). "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021." URL

  • TSMC. (2023). "TSMC Introduces Latest N2 and N3 Innovations at 2023 Technology Symposium." URL

  • XDA Developers. (2024). "Intel's roadmap for 2024 and 2025: 18A, 20A, and what it all means." URL

  • ZDNet. (2022, June 29). "Samsung starts mass production of chips using advanced 3nm process node." URL


Subscribe to AmiTech Newsletter

Thanks for submitting!

  • LinkedIn
  • Facebook

© 2024 by AmiNext Fin & Tech Notes

bottom of page