COMPUTEX 2025 Post-Show Review: AI's Pervasive Expansion and Semiconductor Technology's Renewed Leap Forward | Analyzing the Core Dynamics Shaping the Future
- Sonya
- 16 hours ago
- 10 min read
COMPUTEX 2025 recently concluded with great success. The event not only reaffirmed Taiwan's leading position in the global Information and Communications Technology (ICT) industry but also provided irrefutable proof that the widespread application of Artificial Intelligence (AI) is now a certainty, solidly supported by revolutionary breakthroughs in semiconductor technology. The achievements unveiled by global tech giants at the event clearly demonstrated the deep integration and broad deployment of AI technology from the cloud to the edge. The remarkable advancements in process technology, architecture, packaging, and memory technology within the semiconductor industry are the core engines driving this intelligent transformation.
COMPUTEX 2025 Core Theme: AI Everywhere as a Present Reality, Semiconductor Prowess Defines New Heights
All focus at COMPUTEX unequivocally pointed to two main themes: the mature, real-world implementation of AI applications and major breakthroughs in cutting-edge semiconductor technology. Exhibitors showcased not just conceptual validations but market-proven products and solutions capable of directly enhancing productivity and optimizing user experiences. "AI Everywhere" has transitioned from a vision to an ongoing reality, with the semiconductor industry's definitive technological iterations providing robust momentum for AI's continuous evolution.
AI's Innovative Practices: Comprehensive Deployment from Cloud Intelligence to Edge Empowerment
AI's influence is profoundly and extensively reshaping the operational models of all industries, a trend ubiquitously demonstrated at COMPUTEX 2025. Major chip design companies and system manufacturers have placed AI at the core of their product strategies.
AI PCs Become Mainstream: Personal Computing Enters a New Era of Intelligence
AI PCs were undoubtedly a core highlight of this year's show, heralding a significant transformation in the personal computer industry towards AI dominance.
Significant Enhancement in Core Processor AI Compute Power:
Intel: Officially launched and detailed its Core Ultra series processors (e.g., Panther Lake or its direct successor) based on the Intel 18A process. The integrated NPU (Neural Processing Unit) in specific high-end models consistently achieves AI compute power exceeding 100 TOPS, with some demonstrations reaching levels of 120-150 TOPS. Intel emphasized the seamless integration of its AI PC processors with Windows Copilot features and their outstanding performance in executing complex local generative AI tasks, such as real-time multilingual translation, advanced content creation assistance, and personalized intelligent assistants.
AMD: Introduced its Ryzen AI 300 series processors featuring the Zen 6 CPU architecture and XDNA 4 NPU architecture. The NPU AI compute power in its high-end product lines also reached the 100-150 TOPS level, particularly strengthening its leadership in high-performance AI computing, AI-enhanced gaming, and professional content creation applications. AMD showcased multiple AI PCs equipped with its processors, demonstrating impressive fluidity in running complex AI models.
Qualcomm: Following the Snapdragon X Elite/Plus, Qualcomm officially launched its second-generation Arm-architecture PC processor (Snapdragon X Gen 2), featuring an upgraded Oryon CPU core. While maintaining its advantages in extended battery life and always-on connectivity, the new generation processor boasts an NPU compute power in the 70-100 TOPS range. Qualcomm also announced collaborations with more Independent Software Vendors (ISVs) to provide a richer portfolio of native Arm64 AI applications.
Maturation of Software Ecosystem and Application Scenarios: The Microsoft Copilot+ PC ecosystem has further expanded, incorporating more applications with native AI capabilities. Professional creation and streaming software, including the full Adobe Creative Suite, Blender, and OBS Studio, have deeply integrated hardware acceleration support for various NPUs, significantly enhancing the practical value of AI PCs.
Deep Deployment of Edge AI: Enabling Scalable Smart IoT Applications
The trend of AI expanding to edge devices was notably accelerated at COMPUTEX, with low-latency, high-privacy, and high-efficiency edge AI solutions becoming mainstream.
Upgraded Performance and Applications for AIoT Chips:
MediaTek launched its Dimensity Auto C-X3/C-A3 automotive platform, integrating over 200 TOPS of AI compute power to fully support L3/L4 level ADAS functions and multi-screen smart cockpit experiences. Its Genio 950/1200 AIoT chip platforms demonstrated outstanding on-device AI processing capabilities in scenarios like customer behavior analysis in smart retail, defect identification in industrial visual inspection, and multi-device collaborative control in smart homes. NXP, Texas Instruments (TI), and other vendors also introduced new-generation MCUs and MPUs for specific industrial, medical, and automotive applications, featuring more powerful embedded AI acceleration engines and security mechanisms.
Advancements in Robotics and Autonomous Systems:
NVIDIA showcased the successor platform to its Jetson AGX Orin (e.g., Jetson Thor or a similar integrated SoC) and the latest version of its Isaac Sim robotics simulation platform. Live demonstrations of Autonomous Mobile Robots (AMRs), humanoid robots, and industrial collaborative robots showed significant improvements in environmental perception, path planning, and human-robot interaction, highlighting the core role of edge AI in enhancing robot autonomy and intelligence.
Data Center and Cloud AI: Compute Infrastructure Continues Rapid Evolution
As the parameter scale and complexity of generative AI models continue to soar, global demand for data center AI compute power is experiencing exponential growth.
NVIDIA: Comprehensively showcased the deployment status and performance of its Blackwell architecture GPUs (B200, GB200 NVL72 Superchip) in major Cloud Service Providers (CSPs) and enterprise data centers worldwide. Concurrently, NVIDIA officially announced its next-generation AI GPU architecture, codenamed "Rubin," slated for release in 2026-2027, promising double the performance and higher energy efficiency compared to Blackwell. The NVIDIA Spectrum-X Ethernet platform and Fusion high-speed interconnect technology were also highlighted as key to ensuring the performance of large-scale AI clusters.
AMD: Its Instinct MI300 series accelerators (MI300X, MI300A, MI325X) have gained widespread market recognition. AMD unveiled the Instinct MI350X, featuring an upgraded CDNA 4 architecture, delivering significant performance improvements in AI training and inference over its predecessor. AMD emphasized the comprehensive support of its ROCm 6.0 open software platform for mainstream AI frameworks and its solutions in the converged HPC and AI domain.
Intel: The Gaudi 3 AI accelerator has been deployed in multiple large-scale data centers globally. Intel released its latest performance data for LLM training and inference, underscoring its competitiveness in specific models. Intel also outlined its future AI accelerator roadmap and its open software ecosystem based on oneAPI.
Flourishing Development of Custom AI Chips (ASICs): Google's TPU v6/v7, Amazon's Trainium 3/Inferentia 4, and self-developed AI chips from Microsoft, Meta, and other cloud giants showcased their latest architectures and performance metrics through tech presentations or partners, demonstrating the optimization potential of ASICs for specific AI workloads.
Definitive Breakthroughs in Semiconductor Technology: The Core Engine of the AI Era
The explosive growth of AI applications has placed extremely stringent demands on the iteration speed and innovation capabilities of semiconductor technology. At COMPUTEX 2025, breakthrough advancements across all segments of the semiconductor industry chain laid a solid foundation for the future development of AI.
Mass Production and Outlook for Advanced Process Nodes
TSMC (Taiwan Semiconductor Manufacturing Company): Its N2 (2-nanometer) process has entered mass production, with AI chips (including CPUs, GPUs, and AI accelerators) from multiple top-tier clients utilizing this node. TSMC further demonstrated the performance advantages of its N2P (2nm enhanced) process and confirmed that its A16 (1.6nm) process will enter mass production in 2026-2027. The A16 node will fully incorporate NanoFlex transistors and Super Power Rail backside power delivery technology, bringing significant performance-per-watt improvements to next-generation AI chips.
Intel Foundry Services (IFS): Intel officially announced that its Intel 18A process has successfully entered mass production and has secured orders from several major external customers. Intel 18A, featuring RibbonFET gate-all-around transistors and PowerVia backside power delivery, achieves industry-leading performance and power efficiency. Intel also elaborated on the development progress of its Intel 14A and subsequent nodes.
Samsung Foundry: Its second-generation 3nm GAA process (SF3) has achieved stable production, and the company is actively advancing the development of its SF2 (2nm) and SF1.4 (1.4nm) GAA processes. Samsung emphasized its synergistic advantages in advanced process and packaging technologies (such as I-Cube and X-Cube) to provide customers with one-stop solutions.
Widespread Application of Heterogeneous Integration and Advanced Packaging Technologies
Against the backdrop of Moore's Law's increasingly apparent physical limits, heterogeneous integration and advanced packaging have become primary pathways for enhancing chip system performance and functional density.
Standardization and Ecosystem Maturity of Chiplet Technology: The UCIe (Universal Chiplet Interconnect Express) 1.1 standard has gained broad industry adoption, with a proliferation of Chiplet products compliant with this standard. COMPUTEX 2025 featured numerous multi-chip module (MCM) and system-in-package (SiP) products based on UCIe, indicating the maturation of the Chiplet ecosystem. Significant progress was also made in an UCIe 2.0 standard.
Continued Leadership in CoWoS and 3D Packaging Technologies: TSMC's CoWoS-L and CoWoS-S packaging technologies continue to dominate the high-end AI GPU packaging market, owing to their support for ultra-large interposer sizes and high-density interconnect capabilities. Its 3D packaging technology, SoIC (System on Integrated Chips), particularly SoIC-P (Hybrid Bonding), has been successfully applied to logic-memory direct stacking in HPC and AI chips, achieving unprecedented interconnect density and bandwidth. Intel's Foveros Direct 3D packaging technology was also demonstrated in multiple products, showcasing its strong capabilities in small-die heterogeneous integration.
Inaugural Year of Commercialization for Glass Substrate Technology: Intel pioneered the adoption of glass substrates for packaging some of its high-end AI accelerators and HPC processors, showcasing actual products. Compared to traditional organic substrates, glass substrates offer decisive advantages in signal integrity, dimensional stability, mechanical strength, and the ability to carry larger-scale Chiplet combinations, positioning them as a key material for next-generation advanced packaging. Several packaging material and equipment suppliers also exhibited their glass substrate-related solutions.
Leapfrog Development in High-Performance Memory Technologies
AI models' demands for memory bandwidth, capacity, and power efficiency have reached new heights, driving memory technology innovation at an unprecedented pace.
Comprehensive Upgrade of HBM (High-Bandwidth Memory): HBM3E has become the standard configuration for top-tier AI accelerators, with a single HBM3E stack providing over 1.2 TB/s of bandwidth. At COMPUTEX 2025, memory giants SK Hynix, Samsung, and Micron officially released detailed specifications and mass production timelines for HBM4. HBM4 utilizes an ultra-wide 2048-bit interface, achieving a per-stack bandwidth of 1.5 to 2 TB/s. Some vendors also showcased HBM4 solutions integrating near-memory processing or buffer logic dies to further optimize AI compute performance.
Full-Scale Emergence of the CXL (Compute Express Link) Ecosystem: CXL 3.0/3.1 standard-related products and solutions emerged in masse at COMPUTEX 2025. Multiple server and motherboard vendors showcased CXL-enabled platforms, memory vendors introduced CXL Type 3 memory expansion modules (CMM-DDR5/6), and AI accelerators began to natively support CXL interconnects. Advanced features like memory pooling, memory sharing, and CXL Fabric connectivity significantly enhance the memory utilization efficiency and architectural flexibility of data center servers.
Mature Application of New-Generation DDR and GDDR Technologies: LPDDR6 has become the mainstream memory standard for flagship AI PCs and high-end mobile devices, offering over 12 Gbps transfer rates and lower power consumption. GDDR7 is now fully adopted in new-generation high-end discrete graphics cards and some AI accelerator cards, with single-chip bandwidth reaching 32-36 Gbps, providing robust memory support for demanding graphics rendering and desktop-level AI computing.
Technical Comparison and Analysis
Table 1: NPU Performance Metrics of Flagship AI PC Processors at COMPUTEX 2025
Feature/Vendor | Intel Core Ultra (Panther Lake / Successor) | AMD Ryzen AI 300 Series (Zen 6 + XDNA 4) | Qualcomm Snapdragon X (Gen 2 / Successor) |
NPU Compute (TOPS) | 100-150 (Specific High-End Models) | 100-150 (Specific High-End Models) | 70-100 (Specific High-End Models) |
CPU Microarchitecture | Next-Gen Core Ultra (based on Intel 18A) | Zen 6 | Second-Gen Oryon Core |
NPU Microarchitecture | Next-Gen Intel AI Engine | XDNA 4 | Second-Gen Hexagon NPU |
Memory Support | LPDDR6 | LPDDR6 | LPDDR6 |
Process Node | Intel 18A | TSMC N3P/N2 | TSMC N3P/N2 |
AI Application Strength | Deep Windows Copilot Integration, Powerful Content Creation | High-Performance AI Gaming, Professional Application Acceleration | Extreme Battery Life, Always-On Intelligent Connected AI Experience |
Table 2: Core Semiconductor Technologies at COMPUTEX 2025 and Their Decisive Impact on AI (Confirmed Advancements)
Semiconductor Technology | Confirmed Key Breakthroughs at COMPUTEX 2025 | Decisive Impact on AI Development |
Advanced Process (2nm/A16) | N2 Mass Production, A16 (incl. BSPDN) slated for 2026-27 MP | Provides AI chips with unprecedented transistor density & power efficiency, driving continuous AI compute growth |
Chiplet Technology | UCIe 1.1 Product Proliferation, UCIe 2.0 Standard Progress | Greatly accelerates AI chip design flexibility & time-to-market, fostering more custom AI solutions |
3D Advanced Packaging | CoWoS-L/S Capacity Expansion, SoIC-P Hybrid Bonding Mature Application | Achieves extreme interconnect bandwidth & density within AI chips, unlocking full compute potential |
HBM4 | 2048-bit Interface, 1.5-2 TB/s Per-Stack Bandwidth Specs Confirmed | Thoroughly resolves memory bandwidth bottlenecks for large AI models, supporting more complex AI computations |
CXL 3.0/3.1 | Type 3 Memory Expansion Modules Widely Available, Memory Pooling Solutions Mature | Fundamentally improves AI server memory resource configuration efficiency & scalability |
Glass Substrate | Adoption in some High-End AI Accelerators, Demonstrating Superior Electrical/Mechanical Properties | Provides key material solution for future ultra-large-scale, ultra-high-frequency AI chip packaging |
Future Trends: Deep Fusion of AI and Semiconductors Co-creating a New Chapter of Intelligence
The technological breakthroughs and market dynamics unveiled at COMPUTEX 2025 clearly indicate an inevitable trend of deep fusion and synergistic development between the AI and semiconductor industries. Continuous innovation in AI applications—such as larger, more complex foundational models, lower-latency and higher-efficiency edge inference, and more autonomous embodied AI—will constantly pose new challenges and demands for semiconductor technology. This will drive the semiconductor industry to relentlessly explore and break new ground in material science, transistor structures, chip architecture design, system-level packaging, and memory technologies.
Concurrently, every advancement in semiconductor technology will, in turn, open up broader possibilities for AI development. For instance, more advanced process nodes and 3D packaging technologies make it possible to integrate hundreds of billions, or even trillions, of transistors on a single chip, providing the compute foundation for training more powerful AI models. New memory technologies ensure the fluidity and efficiency of AI models when processing massive datasets. It is foreseeable that future AI development will increasingly rely on fundamental innovations in semiconductor technology, and the semiconductor industry will, in turn, gain sustained growth momentum from the burgeoning AI field.
However, this path of integrated development is not without challenges. The immense energy consumption of AI computation urgently needs solutions, requiring synergistic efforts in chip design, data center architecture, and algorithm optimization. Ethical, security, and trustworthiness issues of AI technology also necessitate the establishment of comprehensive technical standards and governance frameworks. Furthermore, the resilience and diversification of the global semiconductor supply chain are crucial for ensuring the healthy development of the industry. These are all issues that the entire tech industry must confront and overcome on its journey towards an intelligent future.
Conclusion
With its bountiful achievements, COMPUTEX 2025 has set a new development benchmark for the global tech industry. The comprehensive marketization of AI PCs heralds a fundamental upgrade in the personal computing experience; the deep integration of edge AI makes intelligent applications readily accessible; and the continuous evolution of cloud AI provides powerful compute engines for solving complex global challenges. The semiconductor industry, as the creator and enabler of all these technological marvels, and its definitive breakthroughs in advanced processes, innovative architectures, cutting-edge packaging, and high-efficiency memory, are precisely the core engines driving the entire tech world towards a higher level of intelligence. The technological directions and market trends established at this year's show will undoubtedly continue to shape the development trajectory of the global tech industry in the coming years, profoundly impacting the future fabric of human society.