top of page

The Paradigm Shift to Chiplets and Heterogeneous Integration

  • Writer: Sonya
    Sonya
  • Jul 1
  • 45 min read

Traditional monolithic System-on-Chips (SoCs) are encountering fundamental physical and economic bottlenecks at advanced nodes. As the benefits of transistor scaling diminish, the cost of designing and manufacturing large, complex SoCs at leading-edge process nodes has skyrocketed. The yield of large monolithic dies drops significantly at advanced nodes due to increasing defect densities. Moore's Law, proposed by Gordon Moore in 1965—stating that the number of transistors on a microchip doubles approximately every two years for the same silicon area manufacturing cost—guided the industry for decades but now faces severe challenges. Chiplet technology is seen as a way to continue the spirit of Moore's Law. This background underscores the industry's urgent search for alternatives like chiplets, with the economic and physical limitations of traditional methods being the primary drivers of this paradigm shift.


Against this backdrop, chiplets have emerged as a revolutionary approach. By decomposing a complex system into smaller, functionally independent modules, chiplets offer a highly attractive alternative. These "small modular integrated circuits" are manufactured separately and then integrated. This modularity is reshaping the landscape of semiconductor design and manufacturing. The emergence of chiplets is a direct response to the aforementioned challenges of monolithic systems, with their modular nature being the core principle.

Heterogeneous integration plays a pivotal role in this transition. It is a methodology for assembling diverse components—including electronic and non-electronic components, as well as chiplets manufactured using different process technologies—into a single compact device or package. This is a key advantage and enabler of chiplet technology. It allows designers to "mix and match" different chiplets, combining the best features of various technologies. Heterogeneous integration is the "how" that unlocks the potential of chiplets—it is the critical enabling concept that empowers them by combining different functionalities and process nodes.


The shift to chiplets is not merely a technological evolution but a fundamental restructuring of the semiconductor design and manufacturing ecosystem. It moves from a "one-size-fits-all" monolithic approach to a more flexible, specialized, and potentially more democratized model of silicon development. Monolithic SoCs require immense capital and expertise, concentrating power in the hands of a few large Integrated Device Manufacturers (IDMs) and foundries. In contrast, chiplets allow for the mixing of IP from different sources and process nodes, potentially lowering the barrier to entry for custom silicon. This could lead to more players specializing in specific chiplet functions (e.g., IP vendors, small design houses) and a more diverse supply chain. The concept of a "chiplet marketplace" further suggests a move towards a more open and collaborative ecosystem, a significant departure from the vertically integrated or tightly controlled foundry-fabless models of the past.


Furthermore, the economic unsustainability of Moore's Law at advanced nodes is a more immediate and significant driver for chiplet adoption than sheer technical performance limits. While performance gains are an advantage, the prohibitive cost of designing and fabricating large, cutting-edge monolithic dies is forcing the industry to find more economically viable ways to continue improving system-level performance. Benefits such as improved yield, reduced development time, and lower costs are repeatedly highlighted as key advantages of chiplets. The ability to use older, more cost-effective process nodes for some chiplets while reserving advanced nodes for critical functions directly addresses the cost issue. Therefore, while technical performance gains are achievable, the primary motivation for this shift is the need to manage and mitigate the growing financial burden of maintaining the Moore's Law trajectory with monolithic designs.


Core Technology Definitions



Chiplets: The Modular Building Blocks of Complex Systems


Chiplets are defined as small, modular integrated circuits that can be combined to create more complex System-on-Chips (SoCs) or multi-die designs. They are specialized dies, each performing a specific function. Examples of functional chiplets include CPU chiplets, GPU chiplets, memory chiplets, and I/O chiplets. They are designed to be "modular building blocks," a concept analogous to components on a printed circuit board (PCB). The term "Chiplet" was coined by researchers at the University of Michigan, combining "chip" and "petite." This section provides a clear, foundational definition of chiplets, emphasizing their modularity and functional specialization, which is crucial for understanding subsequent discussions on architecture and advantages.


Heterogeneous Integration: Assembling Diverse Components


Heterogeneous integration is defined as a methodology for assembling diverse components (both electronic and non-electronic) into a single compact device. It allows for the combination of chiplets manufactured using different process technologies. This enables the integration of disparate technologies such as silicon-based CMOS, III-V materials, and photonics. It is a key advantage of chiplet technology, allowing designers to achieve the "best of both worlds" by combining optimized components. This section defines the enabler. Heterogeneous integration is the practical method that makes the modular chiplet concept viable and powerful, permitting unprecedented design flexibility.


The definitions of chiplets and heterogeneous integration highlight a fundamental shift in design philosophy: from on-chip integration (monolithic SoC) to in-package integration of chips (chiplets). This distinction is crucial for understanding the architectural and manufacturing implications. Monolithic SoCs integrate all functions onto a single silicon die, focusing on maximizing what can be packed onto one piece of silicon. Chiplets, conversely, break these functions into smaller, specialized dies. The focus shifts to how to efficiently combine these independently optimized parts. Heterogeneous integration is precisely the process of this combination. This means that packaging technology (Section 4.1) evolves from a protective shell into an active integration platform, and interconnect technology (Section 4.2) becomes as critical as on-chip wiring.


Furthermore, the concept of "non-electronic" components in heterogeneous integration implies a future where chip-based systems could directly integrate a much broader range of functions within the same package, far beyond traditional computing—such as sensors, actuators, and even biological components. Although the current discussion focuses primarily on electronic chiplets (CPU, GPU, memory), the inclusion of "non-electronic" components opens up a vast landscape of possibilities for even more versatile System-in-Package (SiP) solutions. This could lead to highly compact and integrated devices for IoT, medical applications (e.g., lab-on-a-chip with integrated processing), or advanced sensor fusion systems where the sensing element is co-packaged with its processing unit. This broader scope suggests that chiplet technology could be the pathway to realizing true "smart systems" with deeply embedded, diverse functionalities.


The Rise of Chiplets: Drivers and Advantages



Key Driving Forces


The rapid rise of chiplet technology is not coincidental but the result of a convergence of several factors.


  • Slowing of Moore's Law: Traditional SoC scaling faces increasing physical and economic challenges.

  • Cost-Effectiveness: Reduced design and manufacturing costs, especially at advanced nodes. Chiplets made on mature nodes can lower costs, while high-performance components can leverage cutting-edge nodes. The DARPA CHIPS program documented potential development time reductions of 70-80% and significant cost savings.

  • Improved Yield: Smaller dies are less prone to defects, leading to higher manufacturing yields. Pre-integration testing for "Known Good Die" (KGD) is critical.

  • Performance Optimization: Each chiplet can be optimized for its specific function using the most suitable process technology. Domain-specific accelerators can improve computational efficiency by 10-1000x.

  • Specialization and Customization: The ability to create tailored solutions for specific applications and workloads.

  • Accelerated Time-to-Market (TTM): Modular design and IP reuse can speed up development cycles.


This section details the fundamental reasons for the industry's rapid adoption of chiplets, linking them to the limitations of monolithic SoCs and highlighting the multifaceted appeal of the chiplet approach.


Multifaceted Advantages


Chiplet architectures bring a host of tangible benefits to designers, manufacturers, and end-users.


  • Enhanced Performance: Achieved through optimized components and high-speed interconnects.

  • Scalability: Easier to upgrade or add new functionality by swapping or adding chiplets.

  • Improved Yield and Reliability: Smaller dies mean fewer defects per die; KGD testing improves overall system reliability.

  • Cost-Effectiveness: Derived from manufacturing, design reuse, and reduced waste.

  • Reusable Intellectual Property (IP): Pre-validated chiplets can be integrated across various devices, reducing cost and increasing flexibility.

  • Energy Efficiency / Lower Power Consumption: Optimizing power for each chiplet, reducing data movement, and dissipating heat more effectively.

  • Design Flexibility and Customization: The mix-and-match capability enables tailor-made solutions.

  • Reduced Form Factor: Integrating components into a single package.

  • Future-Proofing: Modular upgrades allow for future enhancements without a complete redesign.


This section expands on the driving forces by enumerating the concrete benefits that chiplet architectures offer to designers, manufacturers, and end-users.


Historical Context: The Impact of Programs like DARPA CHIPS


The idea of chiplets gained significant momentum from DARPA's "Common Heterogeneous Integration and IP Reuse Strategies" (CHIPS) program. The DARPA CHIPS program focused on creating a new paradigm for IP reuse, improving system flexibility, and reducing development time, particularly for the Department of Defense and other low-volume applications. DARPA's involvement has been historically crucial for many technological breakthroughs (e.g., the Internet, GPS). The CHIPS program noted that decomposing SoCs into chiplets could reduce development time by 70-80%. Early concepts of Multi-Chip Modules (MCMs) and System-in-Package (SiP) in the 1980s-1990s laid the groundwork. Understanding the historical drivers, especially the strategic vision of programs like DARPA CHIPS, provides insight into the long-term goals and significance of chiplet technology beyond its immediate commercial benefits.


The numerous advantages of chiplets collectively point towards a trend of "silicon design democratization." By lowering costs, enabling IP reuse, and accelerating time-to-market, chiplets make it feasible for smaller companies or specialized teams to develop custom hardware solutions, a domain previously accessible mainly to large corporations. The high cost and complexity of advanced-node monolithic designs are major barriers to entry.


Chiplets reduce these costs through better yield, mixed-process-node strategies, and IP reuse. Faster time-to-market allows for quicker iteration and market entry. The vision of a "chiplet marketplace," where pre-validated chiplets can be sourced from various vendors, further supports this trend. This could foster innovation from a broader set of players, breaking the dominance of a few large semiconductor giants in the custom silicon space.

The emphasis on "Known Good Die" (KGD) is more than a manufacturing advantage; it is a critical enabler of the entire chiplet supply chain and business model. Without reliable KGD, the cost benefits of chiplets could be negated by poor final system yield, and the trust required for a multi-vendor ecosystem would erode. KGD testing ensures that individual chiplets are validated before expensive system integration. If a faulty chiplet is integrated, the entire complex package may have to be discarded, significantly increasing costs and undermining the yield benefits of smaller dies. In a multi-vendor chiplet marketplace where a system integrator buys chiplets from different suppliers, KGD quality assurance is essential for accountability and risk management. Thus, robust KGD testing methodologies (Section 6.2) are a foundational requirement for the economic viability and scalability of the chiplet paradigm, with implications for test equipment vendors and test service providers.


The DARPA CHIPS program's focus on "IP reuse" is a direct precursor to the commercial emphasis on reusable IP chiplets. This highlights a strategic, long-term vision for modular hardware that predates the recent commercial boom, indicating that the current chiplet proliferation is the culmination of decades of foundational R&D and strategic planning. DARPA CHIPS was explicitly aimed at creating a new paradigm for IP reuse. The program saw decomposition into modular chiplets as the means to this end. The commercial benefits widely cited today, such as "reusable intellectual property (IP)" and "design reuse," directly mirror these early goals. This connection underscores that chiplet technology is not just a reactive response to the slowing of Moore's Law but a proactive, strategically nurtured approach to system design with deep roots in defense and strategic technology initiatives.


Enabling Technologies: The Pillars of Chiplet Integration



Advanced Packaging: The Foundation of Connectivity



Overview of 2.5D and 3D Packaging Technologies


Advanced packaging technologies are essential to the success of chiplets, enabling high-bandwidth, low-latency interconnects. These technologies allow chiplets to be placed much closer together, reducing signal travel distance and boosting overall performance.


  • 2.5D Integration: Chiplets are placed side-by-side on an interposer (silicon or organic) or connected via bridge technologies. This approach provides high-speed interconnects while reducing power consumption.


  • 3D Integration: Chiplets are stacked vertically, often using Through-Silicon Vias (TSVs), to achieve the shortest connection paths, higher integration density, and improved performance and power.


Key Packaging Solutions


The industry has developed various advanced packaging solutions to meet the demands of chiplet integration.


  • Silicon Interposers: A thin silicon wafer with fine-pitch wiring used to connect different chiplets before the entire assembly is mounted onto a substrate. They enable high-density interconnects and high bandwidth. Silicon bridges (like Intel's EMIB) are a localized alternative, embedding small silicon bridges into the package substrate for high-speed die-to-die connections.


  • Intel EMIB (Embedded Multi-die Interconnect Bridge): A silicon bridge embedded in the package substrate for high-speed, short-range connections between dies, offering a more cost-effective alternative to large silicon interposers. EMIB-M integrates Metal-Insulator-Metal (MIM) capacitors in the bridge, while EMIB-T adds TSVs. The second generation of EMIB reduces bump pitch from 55 to 45 microns.


  • Vertical Stacking (3D ICs):

    • Intel Foveros: A 3D stacking technology that allows logic-on-logic or logic-on-memory stacking. Foveros Direct uses face-to-face die stacking with copper-to-copper (Cu-to-Cu) hybrid bonding. It enables the mixing and matching of different chiplets to optimize for cost and energy efficiency. Foveros-R uses a Redistribution Layer (RDL) interposer, and Foveros-B combines an RDL with a silicon bridge.


    • TSMC SoIC (System on Integrated Chips): A 3D stacking technology enabling high-density die-to-die interconnects with bond pitches starting from sub-10 microns for high performance and low power. It supports KGD heterogeneous integration of different chip sizes, functions, and wafer node technologies.


  • Fan-Out Wafer-Level Packaging (FOWLP): By redistributing I/O pads over a larger area, FOWLP has the potential to eliminate the need for a traditional substrate, leading to thinner packages and good electrical performance.


  • TSMC CoWoS (Chip-on-Wafer-on-Substrate): A 2.5D packaging technology that uses a silicon interposer (CoWoS-S) or an organic interposer (CoWoS-R) to integrate logic SoCs and High-Bandwidth Memory (HBM). CoWoS-L is a chip-last assembly process. InFO (Integrated Fan-Out) is another of TSMC's advanced packaging platforms.


Advantages and Tradeoffs of Different Packaging Methods


Choosing the right advanced packaging technology requires a careful balance of its advantages and inherent challenges. A balanced perspective is crucial. While advanced packaging offers significant benefits, it also introduces new engineering challenges and cost factors that must be managed.


  • Advantages: Include reduced form factor, lower weight, improved power efficiency due to shorter interconnect paths, increased bandwidth, and higher reliability. Additionally, breaking a large SoC into chiplets helps improve yield and enables customization.


  • Tradeoffs: Challenges include increased design complexity, thermal management difficulties in 3D stacks, higher packaging costs, and mechanical stress issues. 2.5D (interposer/bridge) is generally simpler in terms of thermal management and mechanical constraints compared to vertical 3D stacking.


The evolution of packaging from a mere protective casing to a performance-critical system integration platform marks a major shift in semiconductor manufacturing. It elevates the importance of package design, material science, and assembly processes to a level on par with front-end wafer fabrication. Traditionally, packaging was primarily for protection and board-level connection, often an afterthought in the design flow. For chiplets, however, the package (2.5D/3D, interposers, bridges) directly enables the high-density, high-speed connections essential for the system to function as a whole. Technologies like TSVs, micro-bumps, and hybrid bonding are complex manufacturing steps. This means package choice now heavily influences the system's power, performance, area (PPA), and cost, necessitating chip-package co-design. Outsourced Semiconductor Assembly and Test (OSAT) providers and foundries offering advanced packaging are becoming key strategic partners, not just service vendors.


The choice between different advanced packaging technologies (e.g., silicon interposer vs. embedded bridge, 2.5D vs. 3D) is a complex optimization problem dependent on the specific application's requirements for bandwidth, latency, power, cost, and thermal performance, with no single "best" solution. Silicon interposers offer very high-density routing but can be costly and large. Embedded bridges (like EMIB) provide a more cost-effective solution for localized high-density interconnects. 3D stacking offers the shortest interconnect paths for ultimate performance and power benefits but introduces significant thermal and mechanical challenges. The documented tradeoffs (2.5D being easier for thermals/mechanics, while 3D is electrically simpler but has a more constrained physical profile) illustrate this point. The selection process therefore requires a careful analysis of these tradeoffs in the context of the target product's specific needs (e.g., a cost-sensitive consumer device versus a performance-critical HPC product).


The development of proprietary packaging solutions by major IDMs (Intel's Foveros/EMIB) and foundries (TSMC's CoWoS/SoIC) in parallel with industry-wide efforts signifies a period of intense innovation and competition, but also a potential for market fragmentation due to a lack of interoperability between these custom solutions unless addressed by broader standards. Intel heavily promotes EMIB and Foveros. TSMC promotes CoWoS and SoIC. These technologies are powerful but are often optimized for their own ecosystems. While this drives innovation, it can also create "walled gardens" if a chiplet packaged with one proprietary technology cannot be easily integrated with a chiplet using another. Standardized die-to-die interfaces (like UCIe, Section 4.2) aim to bridge this gap, but the physical packaging itself still involves these proprietary methods. This creates a dynamic where companies might offer "better-than-standard" performance within their ecosystem at the cost of broader interoperability, a tension seen in discussions about supercomputer makers wanting custom solutions beyond UCIe specifications.


Die-to-Die Interconnects: Enabling Seamless Communication



The Critical Role of High-Bandwidth, Low-Latency, Power-Efficient Interconnects


Die-to-Die (D2D) interconnects are the heart of a chiplet architecture. They must ensure that chiplets can work together as if they were a single chip, delivering near-monolithic performance. These interconnects need to be high-bandwidth, low-latency, and highly power-efficient to meet the demands of high-performance applications. Energy efficiency targets are often below 1 picojoule per bit (<1 pJ/bit), while bandwidth density targets exceed 10 Tbps per millimeter (>10 Tbps/mm) or 2 TB/s per square millimeter (>2 TB/s/mm²). If the package is the physical foundation, the interconnects are the communication highways, and their performance characteristics are paramount.


Overview of Key Interconnect Standards


To foster the development of a chiplet ecosystem, the industry has introduced or is developing several interconnect standards.


  • Universal Chiplet Interconnect Express (UCIe): UCIe is emerging as the leading contender for a universal standard, crucial for nurturing an open chiplet ecosystem. Its development addresses various package types and system-level issues.


    • An open industry standard for die-to-die interconnect at the package level, leveraging the PCI Express (PCIe) and Compute Express Link (CXL) standards.

    • UCIe defines the Physical Layer, Die-to-Die Adapter Layer, and a Protocol Layer.

    • UCIe 1.0/1.1: Established the baseline, expanded reliability mechanisms, introduced new bump maps to reduce packaging costs, and added automotive-grade features. UCIe 1.1 is fully backward compatible with UCIe 1.0.

    • UCIe 2.0: Added support for 3D packaging (UCIe-3D optimized for hybrid bonding with 1-25 micron bump pitches), standardized manageability, and a DFx (Debug, Testability) architecture. Data rates reach up to 32 Gbps per pin. Synopsys offers IP with rates up to 40 Gbps per pin.

    • UCIe supports both standard (organic substrate) and advanced packaging technologies. UCIe-S is for standard packages, and UCIe-A is for advanced packages with dense layouts.

    • Its goal is to enable a multi-vendor ecosystem and reduce time-to-market for solutions.

  • Bunch of Wires (BoW): BoW provides an alternative, particularly where extreme simplicity and cost are paramount, or for specific integration scenarios not fully covered by UCIe's current scope or cost profile.

    • Developed by the Open Compute Project's (OCP) Open Domain-Specific Architecture (ODSA) project, it is an open, interoperable physical interface.

    • Optimized for both commodity (organic laminate) and advanced packaging, portable across multiple bump pitches and process nodes.

    • Compared to a SerDes, BoW has a lower data rate per wire, thus requiring more wires, but allows for single-ended signaling and denser routing.

    • Energy efficiency targets are <0.5−1 pJ/bit in terminated mode and <0.25−0.5 pJ/bit in unterminated mode. Throughput density is up to 2−12+ Tbps/mm.

    • Its Physical Layer (PHY) is a unidirectional slice; multiple slices form a link.

    • Considered more streamlined and potentially lower cost for specialty applications.

  • Advanced Interface Bus (AIB): AIB represents an early open standard valued for its simplicity and efficiency in specific contexts, especially within the Intel ecosystem and for FPGA integration.

    • Originally a proprietary Intel interface, AIB Gen1 (2Gbps per channel, 20 bits wide) was open-sourced in 2018; AIB Gen2 doubles the data rate and channel count.

    • A parallel, source-synchronous interface that is simpler and slower than the top-speed BoW/UCIe, designable on older nodes like 16/22nm.

    • Employs a wide parallel interface with a relatively low transfer speed per wire, which simplifies the circuitry.

    • AIB Base (Single Data Rate SDR, 1Gbps/wire), AIB Plus (Double Data Rate DDR, 2Gbps/wire).

    • Focuses on low latency and high bandwidth density for short-reach connections.


Comparative Analysis Table of UCIe, BoW, and AIB


To more clearly compare these key interconnect standards, the following table summarizes their main characteristics:

Feature

UCIe (Universal Chiplet Interconnect Express)

BoW (Bunch of Wires)

AIB (Advanced Interface Bus)

Standardization Body

UCIe Consortium

Open Compute Project (OCP) ODSA

Intel (Open-Sourced)

Key Backers

Intel, AMD, ARM, TSMC, Samsung, Google, Microsoft, Meta, Qualcomm, NVIDIA, Synopsys, Cadence, and many others

OCP Members

Intel, FPGA Community

Data Rate per Pin/Wire

Up to 32Gbps (UCIe 2.0), Synopsys IP up to 40Gbps

Lower (e.g., 8Gbps/wire in BoW-256 mode for 256Gbps/slice total)

AIB Gen1: 2Gbps/wire (DDR); AIB Gen2 doubles rate

Max Bandwidth Density

Very high, especially UCIe-3D

High, up to 2-12+ Tbps/mm-chip-edge

High, optimized for short reach

Energy Efficiency (pJ/bit)

Target <1 pJ/bit

<0.5−1 (terminated), <0.25−0.5 (unterminated)

Very low due to simple circuitry

Supported Protocols

PCIe, CXL, Streaming protocols

Protocol-agnostic, lower-level PHY

Physical layer spec, flexible upper-layer protocol

Primary Packaging Target

Standard organic substrates, advanced packaging (2.5D/3D)

Commodity organic laminates, advanced packaging

High-density packaging for adjacent dies

Complexity

Relatively high, feature-rich

Relatively low, more streamlined

Very simple, clean circuit design

Cost Profile

IP can be more expensive

Potentially lower cost

Low design cost, implementable on mature nodes

Key Strengths

Broad industry support, high bandwidth, 3D support, manageability

Open, flexible, power-efficient, suitable for multiple package types

Extremely simple, low latency, easy to implement

Key Limitations

Potential for higher IP cost and complexity

Interoperability breadth not as wide as UCIe

Slower than top-speed UCIe/BoW modes, primarily Intel-driven


This table provides an invaluable reference for experts needing to understand the nuances of different interconnect standards. Grasping these differences is crucial for designing or evaluating chiplet-based systems. These three standards are the most discussed in the research literature. A direct side-by-side comparison in a table format allows for a quick understanding of their respective characteristics, target applications, and tradeoffs. This helps in making informed decisions about which interconnect might be suitable for a specific design based on factors like performance requirements, cost constraints, and ecosystem support. It consolidates complex information from multiple sources into an easily digestible format, adding significant value and clarity.


The push for standardized interconnects like UCIe is a critical inflection point. Success here will determine whether a truly open, multi-vendor chiplet ecosystem can flourish, or if the market will remain dominated by proprietary solutions for high-volume applications. Proprietary interconnects limit interoperability and vendor choice, potentially increasing costs and slowing innovation. UCIe aims to create a "ubiquitous interconnect" and enable a "multi-vendor ecosystem." The strong backing for UCIe from major industry players indicates a serious attempt to achieve this. However, challenges remain, as some large players may still prefer custom solutions for optimal performance. The tension between open standards for broad interoperability and custom solutions for peak performance will shape the future landscape of chiplets.


The technical specifications of an interconnect (bandwidth, latency, power) directly dictate the types of applications feasible for a chiplet-based design. Ultra-low-latency interconnects are essential for cache-coherent processor integration, whereas a slightly higher latency may be acceptable for I/O or accelerator chiplets. High-performance computing and AI require extremely low latency and high bandwidth between compute and memory elements. UCIe, BoW, and AIB all target low latency (e.g., UCIe <1−2 ns, BoW <2−4 ns). If interconnect latency is too high, the performance benefits of disaggregation can be lost, making the chiplet approach less attractive than a monolithic design for certain tightly coupled functions. The choice of protocol (e.g., PCIe/CXL over UCIe) also depends on the required level of coherency and the communication model. Therefore, interconnect performance is a key bottleneck or enabler for a given chiplet partitioning strategy.


The development of multiple interconnect standards (UCIe, BoW, AIB), even as UCIe emerges as the front-runner, reflects the diverse needs of the market. A "one-size-fits-all" interconnect may not be optimal for all chiplet use cases, leading to a tiered approach where different standards cater to different PPA and cost targets. UCIe is feature-rich and aims for broad applicability, including advanced packaging and 3D. This comprehensiveness can lead to complexity and higher IP costs. BoW is positioned as a simpler, potentially lower-cost, and more "streamlined" alternative for specific applications or less demanding interfaces. AIB is also known for its simplicity and suitability for older nodes. This suggests that while UCIe may become the "main highway," other standards like BoW could serve as "local roads" for cost-sensitive or less complex connections, or when specific optimizations outside UCIe's current scope or cost profile are needed.


Electronic Design Automation (EDA) Tools: Taming Design Complexity



Challenges for EDA Tools in Chiplet Design


Traditional EDA tools are primarily optimized for monolithic SoCs. Chiplet integration significantly increases design complexity, workload, and engineering effort. Key challenges include:


  • System-level architectural planning and partitioning for optimal PPA.

  • Multi-die co-design and co-verification (signal integrity, power delivery, thermal analysis across dies).

  • Managing proprietary die-to-die links and diverse process technologies.

  • Floorplanning, thermal analysis, and power optimization for multi-die systems.

  • Lack of a unified data model for chip, package, and board co-design.


Chiplet-based design is not just about connecting blocks; it is a multi-physics, multi-domain problem that current EDA tools are still adapting to.


Evolving EDA Solutions for Multi-Die Integration, Verification, and System-Level Optimization


The industry is actively developing new EDA solutions to address the unique needs of chiplet design. This requires specialized tools and methodologies. Platforms are being developed for system-level optimization, integrating data from IC, package, and board designers. AI-driven design automation is being used for tasks like floorplanning, thermal analysis, and power optimization. Predictive modeling, in-design modeling, and signoff workflows for heterogeneous designs are taking shape. The focus is on enhancing modeling capabilities, automating interface generation, and multi-physics simulation. Keysight EDA's Chiplet PHY Designer offers higher levels of abstraction and simulation capabilities. The EDA industry is actively creating new tools and adapting existing ones to handle the unique demands of chiplet architectures.


Key EDA Tool Vendors


Synopsys, Cadence Design Systems, and Siemens EDA are key players in this field. Other specialized EDA vendors include MZ Technologies, Altium, Mirabilis Design, Baya Systems, Zero ASIC, and Arteris. These vendors provide solutions for various aspects of chiplet design, from IP integration to system verification. These companies are at the forefront of providing the necessary design tools for the chiplet revolution.


The complexity of chiplet-based design is driving the convergence of previously separate design domains (IC, package, board) and physics (electrical, thermal, mechanical). EDA tools must evolve into multi-physics, multi-scale platforms to effectively manage this convergence. Monolithic design primarily focuses on on-chip electrical behavior, with package/board often considered as separate problems. Chiplets require a holistic view of the IC, package, and board. Thermal and mechanical stresses become critical at the package/chiplet interface, directly impacting electrical performance. EDA tools need to support "system-based optimization" and "multi-domain multi-tool design analysis." This requires a shift from siloed point tools to integrated design environments capable of co-simulation and co-optimization across these domains.


The successful application of AI in EDA tools could be a key accelerator for chiplet-based design. AI can help manage the vast design space, optimize complex tradeoffs (PPA, thermal), and automate repetitive tasks, thereby mitigating the extra engineering effort currently required. Chiplet design involves more variables: choice of chiplets, interconnects, packaging, partitioning, etc. Optimizing these manually is extremely challenging and time-consuming. AI/ML algorithms are well-suited for exploring large design spaces and finding optimal solutions for complex, multi-objective problems (e.g., PPA, thermal management). The literature explicitly mentions AI for floorplanning, thermal analysis, power optimization, and routing. AI-enhanced EDA tools can thus significantly shorten design cycles and improve the quality of chiplet-based systems, making the technology more accessible and efficient.

The demand for "workflows" that span predictive modeling, in-design analysis, and signoff indicates that chiplet design requires a more continuous and iterative verification process compared to the more phased approach in traditional SoC design. Early analysis and system-level verification become paramount. In monolithic design, many system-level issues are discovered late in the flow. With chiplets, early decisions (e.g., partitioning, interconnect choice) have profound system-level consequences. "Predictive modeling" allows for early evaluation of architectural choices. "In-design modeling" supports continuous feedback and refinement. This iterative approach, supported by integrated EDA workflows, is necessary to de-risk complex chiplet projects and avoid costly late-stage redesigns. This echoes statements in the literature about design workflows becoming highly iterative.


Chiplets in Action: Applications and Case Studies



High-Performance Computing (HPC) and Data Centers



Transforming Server Architectures


Chiplet technology is profoundly transforming server architectures in the HPC and data center sectors. It offers unprecedented scalability and customization to tackle demanding HPC workloads. Chiplets are used to build the highly scalable processors required for supercomputers and hyperscale data centers. This approach allows for the disaggregation of functions like compute, memory, and I/O, which can then be optimized for specific tasks.


  • AMD EPYC Series: A prime example of successful chiplet adoption in the server space. Its architecture features multiple smaller "chiplets" (Core Complex Dies, or CCDs) connected via Infinity Fabric to an I/O Die (IOD). The CCDs contain the CPU cores (e.g., Zen architecture), while the IOD handles memory access, PCIe lanes, and other I/O functions. This design allows EPYC processors to achieve high core counts (up to 96-192 cores), large memory capacity (DDR5), and a massive number of PCIe 5.0 lanes. Notably, the Milan-X series further boosts performance by stacking additional L3 cache (3D V-Cache technology) on top of the CCDs. AMD's EPYC processors demonstrate the viability and advantages of chiplets in the demanding server market, challenging traditional monolithic designs.


  • Intel's "Tile"-Based Architectures: Intel is also heavily invested in chiplet (which it calls "tile") design for its server and client processors. Intel's adoption of tile-based architectures across its product lines highlights the industry-wide shift and the versatility of chiplets.

    • Meteor Lake: Intel's first microarchitecture with a disaggregated Multi-Chip Module (MCM) design, using Foveros packaging technology. It contains a compute tile (Redwood Cove P-cores, Crestmont E-cores), a graphics tile (Xe-LPG), an SoC tile, and an I/O tile.

    • Arrow Lake: A desktop-class chiplet design featuring a compute tile (TSMC N3B process), an IO tile (TSMC N6 process), an SoC tile (TSMC N6 process), and a GPU tile, all sitting on an Intel 22nm base tile. This demonstrates the mix-and-match of different process nodes to improve yield, optimize development, and reduce costs.

    • Intel's Ponte Vecchio GPU also employs a chiplet design.


Furthermore, chiplets help address the data movement bottleneck and power consumption issues in data centers. This section shows how chiplets are being practically applied in the most demanding computing environments, providing concrete examples of their benefits.

AMD's early and successful adoption of chiplet technology with its EPYC processors arguably forced Intel to accelerate its own chiplet strategy (e.g., tiles and Foveros technology), fundamentally changing the competitive landscape of the x86 server market. AMD launched its chiplet-based EPYC processors in 2017. EPYC quickly gained market share due to its high core counts and competitive performance/TCO, often attributed to the scalability and yield advantages of its chiplet design. Intel, traditionally dominant in monolithic design, subsequently announced and launched its tile-based architectures like Meteor Lake and Ponte Vecchio, heavily promoting its Foveros 3D packaging. This demonstrates that chiplet architecture has become a key differentiator and competitive tool, pushing the entire industry, including giants, toward this new paradigm.


The chiplet approach in the HPC/data center space is evolving from simple disaggregation to more complex heterogeneous systems where specialized chiplets (e.g., cache chiplets like 3D V-Cache, I/O chiplets, accelerator chiplets) are combined to create highly optimized solutions for specific workloads. Early EPYC designs focused on disaggregating CPU cores (CCDs) and I/O (IOD). The introduction of 3D V-Cache on Milan-X demonstrated the stacking of a specialized cache chiplet directly on a compute die to enhance performance. Intel's tile strategy explicitly includes separate compute, graphics, SoC, and I/O tiles, indicating functional specialization. Discussions about data center I/O chiplets and memory chiplets further support this trend toward systems built from diverse, specialized building blocks.

Intel's use of TSMC's process nodes for the compute, IO, and SoC tiles in its Arrow Lake processor, while retaining an Intel base tile, is a significant marker of the evolving foundry landscape. It shows that even major IDMs are leveraging external foundries for specific chiplets to optimize cost and access leading process nodes, highlighting the manufacturing flexibility that chiplets provide. Intel has historically been a vertically integrated manufacturer.


The use of TSMC's N3B process for Arrow Lake's compute tile and TSMC's N6 process for other tiles is a major shift. This allows Intel to use the best available node for each function (advanced TSMC node for performance-critical compute, mature TSMC nodes for less critical I/O/SoC, and an older Intel node for the base tile). This chiplet-enabled hybrid manufacturing approach signifies a strategic move to leverage the strengths of the entire semiconductor ecosystem rather than relying solely on internal capabilities.


Artificial Intelligence (AI) and Machine Learning Accelerators



Custom AI Chiplets for Training and Inference


AI workloads have a massive appetite for specialized accelerators, and chiplet technology enables the integration of these accelerators with traditional cores. Chiplets provide scalable compute density for AI solutions and facilitate the proliferation of custom AI solutions. Companies like NVIDIA, Google, AMD, and several startups are leveraging chiplet technology to develop AI processors. For example, d-Matrix utilizes chiplets with a custom interconnect (DMX Link, based on BoW) for AI inference, achieving high memory bandwidth to break through the "memory wall." Its Corsair product contains ASICs with four chiplets each. Chiplets enable the integration of silicon up to four times the reticle size without relying on a full reticle-sized die. AI is a major driver of chiplet innovation due to its insatiable demand for compute power, high memory bandwidth, and specialized hardware.


Impact on AI Hardware Scalability and Efficiency


Chiplets offer a path to composable SoCs for AI to meet diverse workload demands. By reducing the distance data has to travel in AI models, chiplets improve energy efficiency. They also allow for faster development cycles and optimized performance for AI workloads. It is projected that by 2025, over 50% of HPC designs, many of which are AI-related, will employ multi-die technology. Chiplets provide a practical path to scale AI hardware performance and efficiency beyond what is achievable with monolithic dies, addressing the challenges posed by increasingly complex AI models.


The AI hardware space is rapidly moving towards extreme specialization, and chiplets are the key architectural enabler for this trend. We will see more AI systems composed of diverse chiplets, rather than general-purpose chips, with each chiplet highly optimized for a specific part of the AI pipeline (e.g., data ingestion, pre-processing, specific neural network layers, memory access). AI workloads are diverse and computationally intensive. A single monolithic chip struggles to provide optimal performance across all types of AI tasks. Chiplets allow for "custom AI solutions" and "purpose-built silicon" through the integration of specialized accelerators. d-Matrix's architecture with its DIMC and specialized interconnect is an example of deep specialization for inference. The vision of combining compute, memory, and I/O chiplets for AI applications points to this highly specialized, modular future.


The "memory wall" is a critical bottleneck for AI. Chiplet architectures, especially those using 2.5D/3D packaging to bring memory closer to compute (e.g., HBM integration, d-Matrix's DIMC), are essential for overcoming this bottleneck. This means the co-evolution of memory technology and packaging technology is crucial for future AI hardware advancements. AI accelerators require enormous memory bandwidth. d-Matrix explicitly targets the "memory wall" with its chiplet-based DIMC architecture. Advanced packaging like CoWoS is widely used to integrate HBM with GPUs/AI accelerators. The tight integration of compute and memory stacks in 3D heterogeneous computing is a future trend. Therefore, chiplets are not just about disaggregating logic; they are a key enabler for innovative memory subsystems that are critical for AI performance. This also drives demand for advanced memory like HBM and the packaging technologies that integrate them.


The rise of AI chiplets will likely foster a more diverse ecosystem of AI hardware vendors. Startups can focus on developing highly specialized chiplets (e.g., a novel NPU core, a unique memory interface) and partner with others to integrate them into complete AI systems without having to design an entire monolithic AI SoC. Designing a full AI SoC is extremely complex and expensive. Chiplets allow for modular development and IP reuse. Startups like d-Matrix, Rebellions, and EdgeCortix are already focusing on AI chiplets/NPUs. An open chiplet economy with standardized interfaces like UCIe would further lower the barrier to entry. This could lead to faster innovation and a wider variety of AI hardware solutions tailored to niche market needs, beyond the offerings of large, established players.


Automotive Electronics



Applications in ADAS, IVI, and Centralized Computing


Existing monolithic architectures are struggling to meet the growing demands of Advanced Driver-Assistance Systems (ADAS) and In-Vehicle Infotainment (IVI) systems. Chiplets offer flexibility, scalability, and cost-effectiveness for automotive applications. They enable customization for different levels of ADAS (from L1 to L5) and infotainment systems.


Furthermore, chiplets support the shift towards centralized vehicle computing architectures, concentrating processing power. They can integrate general-purpose compute, GPUs, AI accelerators (e.g., for in-vehicle Large Language Models, or LLMs), and specialized I/O chiplets for automotive buses (Ethernet, LiDAR/camera interfaces). The rapid evolution of the automotive industry towards software-defined vehicles and the burgeoning demand for compute make it a prime candidate for chiplet adoption.


Industry Initiatives


To drive the adoption of chiplets in the automotive sector, several key collaborative initiatives have been launched.


  • imec Automotive Chiplet Project (ACP): This project aims to assess which chiplet architectures and packaging technologies are best suited to support the specific high-performance computing and stringent safety requirements of automakers. Stakeholders include Arm, ASE, BMW Group, Bosch, Cadence, Siemens, and Synopsys.


  • Japan's Automotive SoC Research Alliance (ASRA): Comprising 12 companies including Renesas, this consortium is focused on developing chiplet technology with the goal of installing chiplet-based SoCs in vehicles starting in 2030.


Automotive OEMs are forming partnerships with suppliers to build out the chiplet supply chain. Collaborative efforts are essential to standardize and de-risk chiplet adoption in the safety-critical and long-lifecycle automotive industry.


Chiplets in automotive are not just about reducing the cost of existing functions, but are a critical enabler for the massive compute capability growth required for future autonomous driving (AD) and complex IVI systems, including in-vehicle AI like LLMs. Current vehicle systems often lack the computational horsepower to support advanced features. LLMs and advanced AD require vast processing power. Chiplets allow for the integration of diverse compute elements (CPUs, GPUs, specialized AI accelerators) tailored for these tasks. The shift to a centralized compute architecture requires powerful, scalable SoCs, which chiplets can deliver more flexibly and economically than giant monolithic dies.


The stringent safety, reliability, and long-term support requirements of the automotive industry will foster a unique automotive chiplet ecosystem with robust certification processes, traceability, and potentially automotive-specific standards that go beyond general-purpose ones like UCIe. The auto industry has strict safety requirements. Vehicles have long lifecycles (10-15+ years), requiring long-term component availability and support. Initiatives like imec's ACP and ASRA highlight the need for automotive-focused collaborative efforts. While UCIe 1.1 includes enhancements for automotive, additional domain-specific standards for functional safety (ISO 26262), security, and reliability testing of chiplets may be needed in the automotive context. This could lead to a specialized tier of "automotive-grade" chiplets and integration processes.


The potential for significant cost savings (e.g., over 40% for server CPUs, potentially applicable to automotive) combined with faster time-to-market through IP reuse makes chiplets highly attractive to OEMs and Tier-1 suppliers struggling with escalating semiconductor development costs and the need to rapidly deploy features in a competitive market. Automotive semiconductor development is expensive. Chiplets offer cost savings through improved yield and mixed-node strategies. Reusing pre-validated chiplets reduces verification effort and shortens time-to-market. This allows OEMs to introduce new features (ADAS, IVI) faster and potentially at a lower cost point, which is a powerful competitive driver. The shift to the Software-Defined Vehicle (SDV) further emphasizes the need for flexible and upgradable hardware platforms, which chiplets can support.


Mobile Devices and Consumer Electronics



Chiplets in Smartphones and Portable Devices


Chiplet technology is also beginning to penetrate the mobile and consumer electronics space, primarily with the goal of improving power management and computational efficiency. They are being used to enhance battery life while maintaining necessary compute performance. Chiplets help enable advanced features and improve efficiency. Apple's M-series chips are considered a prime example of a chiplet strategy in consumer electronics, although these highly integrated SoCs are more akin to a realization through advanced packaging (with principles similar to chiplet integration, e.g., effectively integrating CPU, GPU, memory controllers) rather than discrete, independently sourced chiplets in all cases. The term "chiplet" here may refer more to the modular design philosophy and advanced packaging than a mix-and-match of disparate parts. MediaTek is also mentioned as a key player in this space. While a full-fledged, mix-and-match chiplet architecture like that seen in servers is less common in the extremely cost- and space-sensitive mobile market, the principles of disaggregation and advanced packaging are influencing mobile SoC design for better PPA.


Balancing Performance and Energy Efficiency


For battery-powered devices, balancing performance with energy efficiency is critical. Chiplets allow for power optimization for each function. However, due to power efficiency and compact design considerations, SoCs may still be the preferred choice for many mobile and embedded applications, while chiplets dominate in HPC/AI. The tradeoffs are different for mobile devices; extreme integration and energy efficiency are paramount, which sometimes favors a highly optimized monolithic SoC or a SiP with chiplet-like internal partitioning and packaging.


When applying the term "chiplet" in the mobile space (e.g., Apple M-series), it often refers to a highly sophisticated System-in-Package (SiP) approach where different functional blocks (IP) are tightly integrated via advanced packaging, rather than a true multi-vendor, mix-and-match chiplet model. This reflects a more "monolithic-like" design control, optimized for PPA. Apple designs its own M-series SoCs, where they control all the IP blocks. While these are modular and use advanced packaging, they are not typically assembled from off-the-shelf chiplets from different vendors in the same way as an HPC system. The benefits are similar (optimized functions, better integration), but the design and supply chain philosophy differs from the open-ecosystem vision of chiplets. The literature suggests that SoCs will remain preferred for mobile due to power/compactness reasons, implying that "chiplet" adoption in mobile may be more about internal disaggregation within a single-vendor SiP.


As standardized interfaces like UCIe become more power-efficient and compact, and the demand for specialized functions in mobile devices grows (e.g., dedicated AI/ML cores, advanced sensors), we may see true third-party chiplets gradually being adopted in mobile SoCs, especially for functions that are difficult or uneconomical to integrate monolithically. Mobile devices are increasingly integrating complex AI/ML capabilities, advanced camera processing, and novel sensors. Integrating all of this onto a single advanced-node monolithic die can be costly and complex. If low-power, small-footprint chiplet interconnects become widely available, mobile SoC designers could integrate specialized third-party chiplets (e.g., a unique sensor interface, a highly efficient AI accelerator from a specialty vendor) with their core IP. This would strike a balance between the tight integration needed for mobile and the flexibility/specialization benefits of chiplets. The literature mentions edge and mobile computing as emerging application areas.


The success of chiplet-like architectures in high-volume consumer electronics, even if initially in the form of complex SiPs, could drive down the cost of advanced packaging technologies, making them more accessible to other market segments. Mobile and consumer electronics are produced in extremely high volumes. The adoption of advanced packaging in these markets (even for internal disaggregation) necessitates cost optimization and scaling of these packaging processes. This volume-driven cost reduction and maturation of packaging technologies (e.g., FOWLP, 3D stacking variants) can then benefit other, lower-volume applications looking to adopt chiplets. In essence, the consumer market can act as a technology and cost driver for the broader chiplet ecosystem.


Overcoming Hurdles: Key Challenges in the Chiplet Era



Interconnects and Standardization Beyond UCIe



Ensuring Interoperability in a Diverse Ecosystem


The lack of universal standards complicates cross-vendor chiplet integration. Current commercial implementations often use proprietary interfaces. The industry needs to avoid fragmentation of approaches to enable a robust chiplet economy. While UCIe is a significant step forward, some large companies, in pursuit of optimal key performance metrics (KPMs), still desire custom solutions that go beyond the standard's specifications, which could limit broader interoperability. Standardization is the bedrock of a thriving, open ecosystem. Without it, we risk creating new silos.


Remaining Challenges in Interface Standards and Protocols


Even with UCIe, many system-level and practical implementation details still need to be standardized or agreed upon to enable seamless integration. This includes the need for robust compliance and interoperability testing frameworks, compliance labs, and plug-fests. System-level integration aspects like power management, thermal management, and system control require clear guidelines for UCIe-based solutions. Latency and synchronization between chiplets remain critical concerns. Arm's Chiplet System Architecture (CSA) is one effort beyond UCIe to address higher-level system aspects (topology, memory traffic, interrupts).


There is an inherent tension between the drive for universal standardization (like UCIe) to foster an open ecosystem and the desire of leading players (especially hyperscalers) to achieve maximum performance through custom, proprietary interconnect solutions that go beyond the standard. This could lead to a bifurcated market. Universal standards like UCIe aim for broad interoperability, enabling a mix-and-match ecosystem. This often involves compromises in capabilities and vendors to accommodate a wide range of needs.


Hyperscalers and HPC leaders demand the absolute best performance, lowest latency, and highest bandwidth, often pushing technology to its limits. These players may find the standard's specifications too restrictive or not sufficiently optimized for their specific, large-scale needs, leading them to develop custom interconnects. This could result in a "standard" chiplet market for broader applications and a "custom/high-performance" segment dominated by proprietary solutions, potentially limiting the full realization of an open chiplet economy for the most advanced systems.


Standardization efforts need to expand from the electrical interface (PHY layer) to cover higher-level protocols, system management, security, and debug (DFx) to ensure true plug-and-play interoperability and reduce system integration complexity. UCIe 2.0 has already started to address manageability and DFx. Arm's CSA specification aims to cover topology, memory traffic, interrupts, and more. The literature explicitly mentions the need to enhance manageability, debug, security, boot-up, system control, and dynamic discovery. Without these higher-level protocols, even if chiplets can physically connect and exchange basic data, integrating them into a fully functional, manageable, and secure system will remain a significant engineering challenge, hindering broad adoption.


The success of software ecosystems (e.g., operating systems, APIs) relies heavily on standardization. A similar multi-layered standardization is crucial for the hardware chiplet ecosystem to mature and achieve widespread adoption, enabling a "hardware composability" analogous to software modularity. Software modularity (libraries, APIs, microservices) allows complex applications to be built from reusable components. This is enabled by standardized interfaces and protocols. The vision for chiplets is to enable a similar "composable SoC" from reusable hardware blocks. Just as software needs more than a physical network link (it needs TCP/IP, HTTP, etc.), chiplets need more than just a physical die-to-die link. They need standardized ways to discover each other, configure resources, manage power, handle errors, and ensure security. The trajectory of chiplet standardization will therefore likely mirror aspects of software ecosystem development, moving from basic connectivity to richer, more abstract interaction models.


Known Good Die (KGD) Testing and Yield Management



The Complexity of Testing Individual Chiplets Before Assembly


Ensuring that each chiplet is fully functional (a Known Good Die, or KGD) before assembly into a multi-chiplet module is critical to avoid costly failures. Packaging can represent a significant portion of the total system cost. Challenges include the limited accessibility for probing fine-pitch micro-bumps, verifying high-speed die-to-die interfaces, and managing thermal performance during testing. Traditional wafer-level testing needs to be adapted for chiplets. As heterogeneous integration and advanced packaging evolve, so does test complexity. KGD is the cornerstone of the chiplet value proposition. If you can't guarantee good chiplets, the entire model of improving yield and lowering cost by using smaller dies falls apart.


Strategies for Cost Control and Maximizing System Yield


Early defect detection prevents faulty dies from being packaged, thus reducing manufacturing costs. Chiplet architectures mitigate risk by allowing defective components to be replaced without discarding the entire system—a key cost-saving point compared to a monolithic failure. While the yield of individual chiplets may be higher, the overall system yield can be impacted by packaging and interconnect challenges. A robust Design-for-Testability (DFT) infrastructure, wafer-level testing, in-package die testing, and interface testing are crucial. Effective yield management involves a multi-faceted strategy, from individual chiplet testing to robust assembly and final test processes.


The KGD challenge creates a significant business opportunity for Automated Test Equipment (ATE) vendors, probe card manufacturers, and test service providers to develop innovative solutions for high-speed, fine-pitch, at-temperature wafer/die testing. KGD is critical. Existing test methods are challenged by chiplet characteristics like fine-pitch bumps and high-speed interfaces. This gap creates a demand for novel test hardware (e.g., FormFactor's HFTAP Matrix probe card), software, and methodologies. Companies specializing in these areas (e.g., Teradyne, Chroma ATE, Advantest) are key enablers of the chiplet ecosystem and stand to benefit from its growth.


The cost-effectiveness of a chiplet strategy is directly proportional to the effectiveness of KGD testing. Any failure to identify a defective chiplet before assembly can lead to compound yield loss at the package level, potentially negating the initial advantage of using smaller dies. One of the core benefits of chiplets is the higher yield from smaller dies. However, if one bad chiplet is integrated into a complex, expensive package with other good chiplets, the entire package may be scrap. The literature explicitly notes that despite higher individual chiplet yields, overall system yield can be lower due to packaging and interconnect challenges. Therefore, the economic success of chiplets hinges on minimizing this package-level yield loss, which makes robust KGD testing an absolute necessity, not just a desirable feature.


The concept of "cost of test" becomes more nuanced in the chiplet world. While testing an individual smaller die may seem simpler, the need to test high-speed die-to-die interfaces, test at multiple stages (wafer, interposer, package), and manage thermal issues during test can add new layers of cost and complexity to the overall test strategy. A monolithic SoC has a complex but relatively well-established single-stage (or few-stage) test flow. Chiplets introduce multiple test insertion points: individual die test, potential interposer test, die-on-die stack test, and final package test. Each stage adds cost. Testing high-speed interfaces requires specialized equipment. Thermal management of high-power chiplets during KGD testing is also a challenge and a cost factor. Thus, while chiplets may reduce scrap costs from smaller die failures, the overall "cost of test" may increase or shift due to these new requirements, requiring careful economic modeling.


Thermal Management in Densely Packed Systems



Addressing Heat Dissipation in 2.5D and 3D Stacked Chiplets


Thermal management is a critical issue in chiplet design, especially with increased integration density and high power density in localized areas. Stacked, thinned dies add thermal resistance, making heat removal more difficult. 3D interface layers contribute to higher thermal resistance. Uneven power distribution can lead to thermal gradients and hotspots, affecting performance and reliability. Packing more functionality into a smaller volume inherently concentrates heat. 3D stacking, while offering performance benefits, exacerbates this problem.


Innovative Cooling Solutions


Tackling these thermal challenges requires advanced cooling solutions and Thermal Interface Materials (TIMs). Examples include microfluidic cooling channels, high-thermal-conductivity materials, and liquid cooling. Thermal analysis and management must be an integral part of the design process, not an afterthought. Early multi-physics analysis is required. Traditional air cooling may be insufficient for high-power chiplet assemblies, driving innovation in both packaging materials and system-level cooling technologies.


Effective thermal management is becoming a primary design constraint for high-performance chiplet systems, potentially limiting the achievable integration density and performance if not addressed early. This elevates the importance of co-design involving electrical, thermal, and mechanical engineers from the earliest stages. Power densities in HPC are exceeding cooling capabilities. High temperatures lead to performance degradation, reduced reliability, and shorter lifespan. 3D stacking, while beneficial for shorter interconnects, increases thermal resistance. This means simply stacking more chiplets is not always feasible; thermal limits must be respected. The call to "analyze early and often" and the need for multi-physics analysis underscore that thermal design can no longer be a late-stage check but must influence architectural decisions (e.g., chiplet placement, power distribution).


The thermal challenges of chiplets (especially 3D stacks) are driving innovation in packaging materials (e.g., high-conductivity TIMs, dielectric materials) and advanced cooling technologies (e.g., microfluidics, liquid cooling). This creates new market opportunities for material suppliers and thermal solution providers. High thermal resistance and hotspots are major problems. Existing materials and cooling methods may be inadequate. This necessitates R&D into new TIMs, substrate materials with better thermal conductivity, and more efficient cooling systems like integrated microfluidics or direct liquid cooling. Companies specializing in these areas will play a key enabling role in the chiplet ecosystem, particularly for HPC and AI applications.


There is a potential tradeoff between interconnect energy efficiency and thermal load. While shorter interconnects in a 3D stack reduce communication power, the resulting increase in overall power density from stacking multiple active dies can create severe thermal problems. Optimizing for one aspect (power) can negatively impact another (heat), requiring a careful balance. 3D stacking shortens interconnects, improving communication energy efficiency. However, stacking active dies increases power density and thermal resistance, leading to higher temperatures. The heat generated by the compute chiplets themselves can become the dominant thermal problem, and if not managed, its effects could outweigh the power savings from shorter interconnects. This means designers must consider the total thermal budget and cooling capacity, not just the power saved by a specific interconnect technology. The choice of 3D partitioning (memory-on-logic vs. logic-on-logic) also has thermal implications.


Security Vulnerabilities and Attack Surfaces



New Security Risks in Disaggregated Architectures


Chiplet architectures introduce unique security challenges and vulnerabilities due to their disaggregated nature and increased reliance on third-party vendors. Chiplets multiply the possible attack vectors; more components mean more potential vulnerabilities. An individual chiplet is not inherently more vulnerable than any other die, but issues arise when multiple chiplets, potentially from different vendors, are packaged together. Attack vectors include theft of design databases, insertion of malicious circuits, side-channel attacks (power analysis, electromagnetic leakage), and compromising inter-chiplet communication. Supply chain weak points: Sourcing chiplets from multiple vendors increases risk if the origin and manufacturing processes are not secure. A single compromised chiplet could affect many end products. Disaggregation, while beneficial, inherently increases the number of interfaces and trust boundaries that can be exploited.


Mitigation Strategies and the Importance of a Root of Trust


Addressing these security risks requires a multi-layered strategy. Implementing security measures at each chiplet level (e.g., Root of Trust (RoT), secure boot) and ensuring secure communication between them. A higher level of security orchestration is needed across the chiplet assembly. Adopting a zero-trust environment and attestation tokens for chiplet authentication. Establishing verifiable traceability and provenance throughout the supply chain. Encrypting inter-chiplet communication (though this adds latency/area/power). Furthermore, standardized security features and management protocols are needed. Security must be designed in from the start, covering individual chiplets, their interactions, and the entire supply chain.


The security challenges of chiplets demand a shift from a perimeter-based security model (protecting a single chip) to a distributed, zero-trust security architecture where every chiplet and interface is a potential point of defense and verification. A monolithic SoC can often rely on a perimeter that protects the single die. With multiple chiplets, potentially from different vendors, the "perimeter" is now fragmented and internal (the inter-chiplet communication). A zero-trust approach, where no chiplet is trusted by default and constant verification/attestation is required, becomes much more appropriate. This means security is no longer just about protecting the chip from the outside world, but also about ensuring the integrity and authenticity of the internal components and communications.


Establishing trust and managing security in a multi-vendor chiplet supply chain is a significant non-technical (i.e., logistical, contractual, policy-related) challenge that requires industry-wide collaboration and potentially new certification or assurance mechanisms. Sourcing chiplets from multiple vendors adds supply chain complexity and risk. Ensuring "know your source" and traceability is critical. This goes beyond the technical implementation of an RoT; it involves vetting the security practices of every vendor in the chain, ensuring secure handling and shipping, and potentially establishing industry-wide security certifications for chiplet providers. The DoD's concern about taking a board out of a deployed system and knowing where it came from highlights the strategic importance of this point.


The added latency, area, and power associated with robust security measures (e.g., full encryption of high-speed interconnects) can conflict with the PPA goals of a chiplet design. This presents difficult tradeoffs for designers, especially in cost-sensitive or power-constrained applications. Encrypting a high-speed die-to-die link adds latency, area, and power. Chiplets are often adopted to improve PPA. Therefore, designers must weigh the security benefits against the potential PPA penalty. This might lead to a tiered security approach, where the most stringent (and costly) measures are applied only to the most sensitive data or critical chiplets, while other parts of the system may rely on integrity checks and authentication rather than full encryption. The discussion in the literature about confidentiality being optional but integrity being essential reflects this.


Supply Chain and Manufacturing Complexity



Managing a Multi-Vendor Supply Chain


A chiplet approach can involve multiple sources for chiplets, which adds complexity but can also enhance resilience through multi-sourcing. Diversification reduces dependence on a single vendor or geographic region, mitigating geopolitical risks. This requires coordination between IC designers, package designers, board designers, foundries, and OSATs. The chiplet model inherently disaggregates not just the chip, but potentially its supply chain.


Impact on Manufacturing Logistics and Cost


Advanced packaging and interconnects add complexity and can offset some of the unit cost savings from smaller dies. Material shortages (e.g., rare earth metals) and the complexity of advanced manufacturing processes (e.g., EUV) can impact chiplet production. Increased capacity for both chiplet production and advanced packaging is needed. While chiplets offer cost advantages in some areas (yield, mixed-node), the assembly and integration steps introduce new cost factors.


The shift to chiplets necessitates a more collaborative and deeply integrated supply chain model, moving away from the traditionally linear handoffs between fabless companies, foundries, and OSATs. Co-design and co-optimization among these players become critical. Chiplet design requires a holistic view of the chip, package, and board. This means design decisions at a fabless company affect packaging choices at an OSAT or foundry, and vice-versa. The literature highlights the need for data aggregation between IC designers, package designers, and even board designers, and the need for collaboration between different engineering teams. This tight coupling requires earlier and more frequent communication and data exchange to ensure the entire system works as intended.


Geopolitical factors are increasingly influencing the global semiconductor supply chain, and the potential for diversified sourcing with chiplets offers a strategic hedge. Dependence on a single geographic region or supplier is seen as a risk. Chiplets allow a company to source different functional blocks from different vendors in different regions. This can not only reduce the risk of supply disruptions but also allow companies to leverage regional expertise or cost advantages. Chiplets are therefore not just a technology strategy but also a supply chain resilience strategy.


Chiplets not only reduce costs for existing players but also open the door for new business models and market entrants by lowering the barrier to entry and enabling more specialized products. Traditional monolithic SoC development requires huge upfront investment. Chiplets can lower these costs through IP reuse and by using the most appropriate (and potentially cheaper) process node for a given function. This makes it possible for smaller or niche-focused companies to develop custom solutions. The emergence of a "chiplet marketplace," where companies can buy and sell standardized functional blocks, will further fuel this trend, potentially leading to a more dynamic and diverse semiconductor ecosystem.


The Evolving Chiplet Ecosystem



Key Players and Their Roles


The chiplet ecosystem is a complex and interconnected network of diverse players, each playing a vital role in driving the technology's development and adoption.


  • Design Companies (Fabless): These firms, such as AMD, NVIDIA, Qualcomm, and numerous startups focused on specific applications, specialize in the architectural design and functional definition of chiplets. They leverage the chiplet approach to create high-performance, customized SoCs.


  • Electronic Design Automation (EDA) Tool Vendors: Synopsys, Cadence Design Systems, and Siemens EDA are the major players providing the software tools necessary to design, verify, and analyze chiplet-based systems. Other specialized vendors like MZ Technologies, Altium, and Arteris also offer specific solutions.


  • Intellectual Property (IP) Providers: These companies provide pre-designed and pre-verified IP blocks that can be implemented as chiplets or integrated within a chiplet. Examples include Arm (processor core IP) and a host of companies like Sofics, Numen, and Imagination Technologies specializing in specific IP.


  • Foundries: Companies like TSMC, Samsung, and Intel (Intel Foundry Services, IFS) not only manufacture the chiplets but also provide the advanced packaging technologies (e.g., CoWoS, Foveros, EMIB) needed to integrate them.


  • Outsourced Semiconductor Assembly and Test (OSATs): Firms like ASE, Amkor, and JCET provide specialized packaging and testing services, which are crucial for the final assembly and validation of chiplets. Many OSATs are investing heavily in advanced packaging capabilities.


  • Standards Consortia and Research Institutes: Groups like the UCIe Consortium, OCP/ODSA, and R&D organizations like imec and DARPA play a key role in developing standards, promoting interoperability, and pioneering new technologies.


The interdependence among these players creates a complex value network. For instance, a design company relies on EDA tools to realize its chiplet design, IP vendors for core functions, foundries to fabricate the dies, and OSATs or foundries for packaging services to integrate the final product. A bottleneck or innovation in any one part of this chain has ripple effects across the entire ecosystem.


As the chiplet concept matures, a new class of IP and chiplet vendors specializing in specific functions or niche markets is emerging. This contrasts with the monolithic era, which was dominated by large IDMs or a few major fabless companies. Now, one company might specialize in a high-performance AI accelerator chiplet, another in an ultra-low-power I/O chiplet, and a third in a unique sensor chiplet. This specialization can accelerate innovation but also requires robust standards and integration capabilities to ensure these disparate parts can work together.


The role of OSATs and foundries is shifting from mere manufacturing service providers to critical innovation partners. Advanced packaging has itself become a differentiating technology that directly impacts the performance, power, and form factor of the final product. Consequently, design companies increasingly need to engage in chip-package co-design, working closely with their packaging provider from the earliest stages of design. This elevates the strategic importance of OSATs and foundries with advanced packaging capabilities within the ecosystem.


The Vision of a Chiplet Marketplace


The "chiplet marketplace" is an emerging concept envisioning an open platform where designers can select and procure pre-validated, interoperable chiplets from various vendors—much like selecting standard components—and then assemble them into custom SoCs. This model promises to further reduce design costs, shorten time-to-market, and foster broader innovation.


However, realizing this vision faces numerous challenges. First, it requires the widespread adoption of and strict adherence to interconnect standards like UCIe to ensure "plug-and-play" compatibility between chiplets from different vendors. Second, a trust mechanism for KGD quality and vendor reliability needs to be established. Additionally, issues surrounding IP protection, liability attribution, and the testing and validation of complex multi-vendor systems must be addressed. Thermal management, the maturity of design tools, and standardized management protocols are also hurdles to overcome.


Artificial intelligence itself may play a role in navigating and optimizing the chiplet marketplace. As the variety and number of available chiplets grow, AI-driven tools could help designers identify and select the optimal combination of chiplets from a vast catalog based on specific performance, power, and cost targets. AI could also assist in optimizing the placement and interconnection of chiplets within a package to maximize overall system efficiency.


A fully functional chiplet marketplace would be a catalyst for innovation, especially for small and medium-sized enterprises and for designs targeting specific niche applications. It would allow companies to focus on their core competencies by developing a differentiated chiplet while leveraging other standardized parts from the market to build a complete system. This would significantly lower the barrier to entry for creating custom silicon.


Nevertheless, standardization and trust are prerequisites for the success of a chiplet marketplace. Without strong, widely accepted standards (not just for physical interconnects but also for testing, security, data formats, etc.), interoperability will be elusive. Similarly, if system integrators cannot trust the quality, security, and specification compliance of the chiplets they procure, they will be unwilling to take the risk of using components from multiple, unknown sources. Establishing certification programs, transparent data sharing, and robust validation processes will be essential to building this trust.


Future Outlook and Projections (2025-2035)



Market Forecasts and Growth Trajectory


The chiplet market is in a phase of rapid growth and is poised to revolutionize the semiconductor industry over the next decade. According to SNS Insider, the global chiplet market was valued at approximately $6.7 billion in 2023 and is projected to reach $1.72 trillion by 2032, with a compound annual growth rate (CAGR) of 73.01%. Fortune Business Insights offers a more conservative forecast, estimating the market size at $37.06 billion in 2023 and projecting it to grow to $233.81 billion by 2032, at a CAGR of 22.9%. IDTechEx predicts that the value of devices incorporating chiplet designs will reach $411 billion by 2035, with a CAGR of 14.7% (2025-2035). While the specific figures vary between analyst firms, the overall trend is unequivocally clear: the chiplet market is set for explosive growth.


Key factors driving this growth include the sustained demand for high-performance computing (especially in AI and data centers), the increasing intelligence and connectivity of automotive electronics, and the pursuit of higher integration and efficiency in consumer electronics. Cost-effectiveness, faster time-to-market, and the design flexibility enabled by heterogeneous integration are also significant drivers. In terms of market segments, the automotive sector currently holds a large share, while enterprise electronics (data centers, cloud computing) is expected to achieve the highest CAGR. By processor type, CPU chiplets currently dominate, but GPU chiplets are projected to grow at a faster rate due to their widespread use in AI and HPC.


Emerging Trends


In the coming years, chiplet technology and heterogeneous integration will be shaped by several important trends:


  • AI in Chiplet Design: Machine learning and AI-driven automation are revolutionizing semiconductor design, optimizing chiplet layouts for improved power and performance efficiency. AI tools will help manage the growing design complexity.

  • 3D Heterogeneous Computing: The next generation of chips will feature tightly integrated compute and memory stacks to enable high-speed processing. 3D stacking technologies will further reduce form factors, increase bandwidth, and lower power consumption.

  • Photonic Interconnects: As electrical interconnects approach their limits in terms of bandwidth and power, silicon photonic interconnects offer the potential for ultra-high-speed data transfer between chiplets, especially in HPC environments. Companies like Ayar Labs are developing UCIe-compliant optical I/O chiplets.

  • Emerging Computing Paradigms: New computing models like quantum computing and neuromorphic computing are leveraging chiplets to implement specialized, high-performance computing.

  • Continued Growth and Standardization of the Chiplet Ecosystem: Collaboration among industry giants is driving open standards like UCIe for universal chiplet interoperability. A more mature and open chiplet marketplace is expected to form.

  • Enhanced Manageability, Debug, and Security: As systems become more complex, the need for standardized management protocols, error handling, security features, and end-to-end testability and debuggability across the chiplet lifecycle will grow.


Long-Term Impact on the Semiconductor Industry


Chiplets and heterogeneous integration are not just a stopgap solution; they represent a fundamental shift in the design and manufacturing philosophy of the semiconductor industry and are expected to be a long-term pillar of its development for decades to come. As the traditional scaling path of Moore's Law becomes increasingly difficult and expensive, chiplets offer a sustainable way to continue improving system-level performance, functionality, and efficiency. This modular approach allows companies to respond more flexibly to market demands, launch innovative products faster, and manage development costs and risks more effectively.


The evolution of artificial intelligence and the advancement of chiplet technology will be mutually reinforcing. The extreme demands of AI workloads for compute power and memory bandwidth are driving innovation in chiplet architectures, such as specialized AI accelerator chiplets and 3D-integrated memory. In turn, the design flexibility and scalability offered by chiplets enable the creation of more powerful and efficient AI hardware, further fueling the development and application of AI technology. This co-evolution will shape the landscape of high-performance computing in the years to come.


Furthermore, with growing concern over environmental impact and energy consumption, sustainability will become an increasingly important consideration in chiplet design and manufacturing. The potential of chiplets to improve overall energy efficiency—by allowing process nodes to be optimized for specific functions (e.g., using older, less energy-intensive nodes for power-insensitive I/O) and by reducing data movement through tighter integration—makes them an attractive pathway toward greener computing solutions. In the future, we may see more design methodologies that focus on the lifecycle impact of chiplets, including material selection, manufacturability, and recyclability.


Conclusion


The rise of chiplets, with heterogeneous integration as its core driver, marks a decisive turning point for the semiconductor industry. Faced with the dual physical and economic bottlenecks of monolithic SoCs and the slowing of the traditional Moore's Law scaling path, chiplets offer a promising way forward. By decomposing complex systems into smaller, functionally specialized modular building blocks and reassembling them using advanced packaging and interconnect technologies, chiplets are fundamentally revolutionizing the philosophy and practice of system design.


This paradigm shift brings a multitude of significant advantages. Firstly, it dramatically improves cost-effectiveness by increasing yield, allowing for the mix-and-match of different process nodes, and facilitating IP reuse. Secondly, it enables deep optimization and customization for specific applications and workloads, achieving unprecedented levels of performance, particularly in compute-intensive domains like high-performance computing and artificial intelligence. Thirdly, the modular design accelerates time-to-market and endows systems with greater scalability and future-proofing flexibility.


However, the full advent of the chiplet era is not without its challenges. Standardization, especially at the system level beyond the physical interconnect, is crucial for ensuring interoperability in a multi-vendor ecosystem. The testing and validation of Known Good Die (KGD), along with thermal management in increasingly dense 3D stacked structures, remain technical hurdles that require continuous innovation. Moreover, disaggregated architectures introduce new security vulnerabilities, while complex supply chain management places higher demands on industry collaboration. EDA tools must constantly evolve to cope with the multi-physics, multi-domain design complexity.


Looking ahead, the development of chiplet technology will be deeply intertwined with cutting-edge trends such as artificial intelligence, 3D heterogeneous computing, and photonic interconnects. A vibrant chiplet ecosystem is taking shape, encompassing players from every segment, including design, IP, EDA, manufacturing, packaging, and test. As standards mature and technical challenges are progressively overcome, chiplets are poised to become the fundamental building blocks of next-generation processors, injecting new vitality into a wide range of applications, from data centers to edge devices, and from automotive electronics to mobile products.


In summary, chiplets and heterogeneous integration are not merely an incremental improvement on existing technology but a profound architectural revolution. They provide the key enabling technologies for the semiconductor industry to continue driving innovation, enhancing performance, and controlling costs in the "post-Moore" era, heralding a more modular, composable, and highly specialized computing future. The impact of this transformation will be far-reaching, not only reshaping how chips are designed and made but also redefining the boundaries of what is possible in future electronic systems.



Reference


  1. Klotz, A. (2025, May 5). Arrow Lake die shot shows off the details of Intel's chiplet-based design. Tom's Hardware. Retrieved July 1, 2025, from https://www.tomshardware.com/pc-components/cpus/arrow-lake-die-shot-shows-off-the-details-of-intels-chiplet-based-design

  2. Arrow Lake (microprocessor). (n.d.). In Wikipedia. Retrieved July 1, 2025, from https://en.wikipedia.org/wiki/Arrow_Lake_(microprocessor

  3. Die-Shots of Intel Core Ultra 'Arrow Lake-S' Surface Thanks to ASUS. (n.d.). TechPowerUp. Retrieved July 1, 2025, from https://www.techpowerup.com/327961/die-shots-of-intel-core-ultra-arrow-lake-s-surface-thanks-to-asus

  4. Intel's IPO Program Supercharges Underperforming "Arrow Lake" Chips, but Only in China for Now. (n.d.). TechPowerUp. Retrieved July 1, 2025, from https://www.techpowerup.com/335353/intels-ipo-program-supercharges-underperforming-arrow-lake-chips-but-only-in-china-for-now

  5. Intel Core Ultra 200H "Arrow Lake-H" laptops allegedly launch in China and South Korea first. (n.d.). VideoCardz.com. Retrieved July 1, 2025, from https://videocardz.com/pixel/intel-core-ultra-200h-arrow-lake-h-laptops-allegedly-launch-in-china-and-south-korea-first

  6. Analysis of Intel's Arrow Lake Chiplet Architecture and Performance. (n.d.). YouTube. Retrieved July 1, 2025, from https://www.youtube.com/watch?v=jNs6Ir1ZQXw

Subscribe to AmiTech Newsletter

Thanks for submitting!

  • LinkedIn
  • Facebook

© 2024 by AmiNext Fin & Tech Notes

bottom of page