Unlocking the Chip Universe: The ASIC Gold Rush, From an Idea to the Superchip in Your Hand
- Sonya

- Aug 6
- 16 min read
The Invisible Architects of Our Digital World
Every time you unlock your smartphone, give a command to your smart speaker, or watch an autonomous vehicle navigate the streets, you are witnessing a miracle created by a highly specialized, custom-built brain. These aren't the ordinary chips you find in your computer; they are Application-Specific Integrated Circuits, or ASICs.

An ASIC (Application-Specific Integrated Circuit) is a chip born for a specific purpose. Unlike a general-purpose processor that is a "jack of all trades, master of none," an ASIC focuses on one task and executes it to perfection. This report aims to demystify ASICs, taking you on a complete journey from a spark of inspiration—a "what if...?" moment—through the complex global supply chain that powers it all, and finally to the superchip in your hand. We will explore what they are, how they are born, who builds them, and where this multi-billion dollar industry is headed.
ASIC Identity—Not All Chips Are Created Equal
Specialists vs. Generalists: The Tailor-Made Chip for Every Task
The best way to understand an ASIC is to compare it with other types of chips. Imagine an ASIC is an F1 car, built to win on the racetrack, while a Central Processing Unit (CPU) is a versatile family sedan. The F1 car is unbeatable on the track, but trying to take it grocery shopping would be absurd.
ASIC vs. CPU (The System's Brain): A CPU (Central Processing Unit) is a generalist, designed to handle a wide variety of tasks and manage the entire system's operation. It's powerful, but for any single, repetitive job, its efficiency is not optimal.
ASIC vs. GPU (The Parallel Processing Beast): A GPU (Graphics Processing Unit) is more specialized than a CPU. It has thousands of simple cores designed for massively parallel processing, which makes it shine in areas like graphics rendering and AI training. However, it is still fundamentally a programmable, general-purpose parallel processor. When executing a single, fixed task, its efficiency is still not as ruthlessly effective as an ASIC.
ASIC vs. FPGA (The Programmable Prototype): An FPGA (Field-Programmable Gate Array) is like a piece of digital clay. It's a generic chip that engineers can program and even reprogram after it leaves the factory to perform different functions. This makes FPGAs perfect for product prototyping, validating ideas, and low-volume production. An ASIC, on the other hand, is the final, hardened version of that idea. It sacrifices the flexibility of an FPGA for unparalleled performance, lower power consumption, and a smaller footprint.
It all comes down to the core trade-off in semiconductor design: Performance, Power, and Area, or PPA. The design philosophy of an ASIC is to provide the ultimate PPA for a specific application by stripping away all unnecessary components. In contrast, the programmability of an FPGA comes with extra power and speed penalties, while the architectures of CPUs and GPUs are built for flexibility, not the extreme efficiency of a single task.
The Billion-Dollar Question: When to Go Custom?
Creating an ASIC chip requires a massive one-time upfront investment known as "Non-Recurring Engineering" (NRE) costs. This is like the "entry fee" to the custom chip club, and it's not cheap.
The NRE bill is primarily composed of the following:
The Mask Set (The Stencils): This is usually the most expensive item in the NRE. The chip manufacturing process is similar to screen printing, and each layer of circuitry requires an incredibly precise "stencil," known as a mask. For advanced processes, a complete mask set can cost millions of dollars.
IP Licensing (The Blueprints): Companies rarely design everything from scratch. They purchase licenses for pre-designed "Intellectual Property" (IP) blocks, such as a USB controller or an Arm processor core, to save time and reduce risk. These license fees can run into the hundreds of thousands of dollars.
EDA Tools (The Software): Modern chip design would be impossible without top-tier Electronic Design Automation (EDA) software from companies like Synopsys or Cadence. Licensing these toolchains is a significant expense for a design team.
Engineering Time (The Brainpower): A large team of top-tier engineers spending months or even years on design, verification, and testing—their salaries are a major component of the NRE.
This leads to the core economic principle of the ASIC industry: production volume is everything. FPGAs have no NRE costs, but their per-unit price is higher. ASICs are the opposite, with sky-high NRE but extremely low per-unit costs once in mass production. When production volume reaches hundreds of thousands or even millions of units, the total cost of using an ASIC becomes far lower than an FPGA. This is why your iPhone uses an ASIC, not an FPGA.
This huge upfront cost is not just a barrier to entry; it's a strategic weapon. Deep-pocketed companies like Apple and Google can afford the multi-million dollar NRE to create unique, custom chips that give their products an unbeatable performance and efficiency advantage. This creates a competitive moat that smaller rivals, who can only rely on off-the-shelf chips, find difficult to cross. The NRE cost itself has become part of their business model—an investment that uses capital to build a technological barrier.
At the same time, FPGAs and ASICs are not purely competitors; they are more like two ends of a development continuum. Many product life cycles begin with an FPGA for rapid prototyping and market validation. Once the design is proven and market demand is confirmed, the company "graduates" to an ASIC to achieve the cost, power, and performance required for mass production. This symbiotic relationship means that the activity level in the FPGA market can often be seen as a leading indicator for future ASIC design demand.
Case Study: The Bitcoin Gold Rush and the ASIC Arms Race
To understand the disruptive power of ASICs, there is no better example than Bitcoin mining. Bitcoin mining is essentially a race to see who can solve a specific mathematical problem (hashing using the SHA-256 algorithm) the fastest. The more computing power you have, the greater your chance of winning.
The evolution of mining tools perfectly demonstrates the advantage of specialized equipment:
The CPU Era: In the beginning, people used their personal computer's CPU for mining.
The GPU Era: Miners quickly discovered that the massively parallel processing nature of GPUs made them far faster at mining than CPUs.
The FPGA Era: A brief transitional period where FPGAs offered better power efficiency than GPUs.
The ASIC Era: The game-changer. Engineers designed ASIC chips that did only one thing: execute the SHA-256 algorithm at incredible speeds and with extreme energy efficiency.
The result was devastating. The emergence of ASIC miners made GPU mining unprofitable overnight. This is a perfect real-world demonstration: for a specific, large-scale, performance-critical task, a custom-built, specialized tool will always defeat a general-purpose one. This "arms race" highlights the brutal economics and performance advantages that drive the entire ASIC industry.
The Birth of a Chip—From Blueprint to Silicon
The birth of an ASIC is a precise journey from an abstract concept to a tangible physical entity. This process can be clearly divided into two worlds: front-end design and back-end design.
Two Worlds of Design: The Architect and the Builder
We can compare chip design to constructing a skyscraper:
Front-End Design (Logical Design): This is the architect's job. They draw the blueprints, defining how many floors the building has, the function of each room, and how people will move within it. They are concerned with function and logic. The final product of this stage is a logical plan (called a "netlist").
Back-End Design (Physical Design): This is the job of the structural engineers and the construction team. They take the architect's blueprints and figure out how to realize them with steel, concrete, and glass. They deal with the realities of the physical world: how thick the columns need to be, where the wires run, and how the plumbing is laid out. They transform the logical plan into a physical entity that can actually be built (called a "GDSII file"). This division of labor is crucial because the skills and tools required for each domain are vastly different.
Front-End—The Dream Phase (Logical Design)
Specification and Architecture: This is the starting point of the journey. The client and architects jointly define the tasks the chip needs to perform, its performance targets, power budget, and size constraints (PPA). This is the "design brief" for the entire project.
RTL Design (Writing the Chip's DNA): Engineers use a Hardware Description Language (HDL), such as Verilog or VHDL, to write code that describes the chip's behavior. This is done at the "Register-Transfer Level" (RTL), which describes how data flows between registers on each clock cycle.
Functional Verification (The Great Bug Hunt): This is one of the most time-consuming and critical stages. Engineers create a virtual "testbench" to simulate the RTL code, running through all possible usage scenarios to find any logical flaws before the design becomes an expensive physical chip. The industry motto is: "Verify early, verify often."
Logic Synthesis (Translating into Logic Gates): An EDA tool (like Synopsys Design Compiler) automatically translates the human-readable RTL code into a "gate-level netlist." This is a massive list detailing the millions of basic logic gates (like AND, OR, NOT) that make up the chip and how they are connected. This is the final blueprint that the front-end team hands over to the back-end team.
Back-End—The Reality Phase (Physical Design)
Floorplanning (Planning the City): The back-end team first performs a high-level layout of the chip, deciding where the major functional blocks (like the CPU core, memory, and I/O interfaces) will be placed. This is like zoning a city before construction begins.
Placement & Routing (Connecting the Dots): This is a stage of magic and complexity.
Placement: The EDA tool precisely places the millions of individual logic gates from the netlist onto the silicon floor plan.
Routing: The tool then meticulously draws tiny metal "wires" across multiple metal layers to connect all the logic gates as defined by the netlist. This is like laying the electrical grid for the entire city.
Clock Tree Synthesis (CTS, Synchronizing the Heartbeat): The clock signal is the chip's "heartbeat," and it must arrive at millions of flip-flops at the exact same instant. The job of CTS is to build a special signal distribution network, inserting buffers to ensure perfect synchronization and minimize "clock skew."
Physical Verification and Sign-off (The Final Inspection): Before the design is sent for manufacturing, it must pass a series of rigorous final checks. This includes "Design Rule Check" (DRC), which ensures the layout doesn't violate the foundry's physical manufacturing rules, and "Layout vs. Schematic" (LVS), which ensures the physical layout perfectly matches the original logical netlist. This is the critical "sign-off" moment.
The Final Chapter: Tape-Out
This is the "point of no return." The final design file, called a GDSII file, is "taped out" and officially sent to a semiconductor foundry (like TSMC) for manufacturing. Any error discovered after this point means a new, multi-million dollar mask set and months of delay.
The entire ASIC design flow is like a cost-amplification funnel. An error found in the RTL code stage might only cost an engineer's time to fix. The same error found after logic synthesis is much more complex to trace. A timing issue discovered after layout might require a massive redesign. And a functional error found after tape-out is a financial disaster. This explains why the industry places extreme importance on the "Shift-Left" philosophy—pushing verification and analysis as early as possible into the design flow. The massive EDA industry exists precisely to manage this exponentially increasing cost of errors as a design moves from logic to physics.
Furthermore, the clear division between front-end (logical) and back-end (physical) has not only fostered skill specialization but also created different business models. Some companies focus on front-end design, delivering a verified netlist. Others specialize in the back-end, turning a netlist into a manufacturable GDSII file. Design service companies like Alchip and GUC typically offer a one-stop "Turnkey" service, managing the entire process from start to finish for their clients. This is an extremely valuable service for companies that have a great system idea but lack a large, specialized chip team.
The Ecosystem—It Takes a Village to Build a Chip
The creation of an ASIC is never a solo act; it requires a global village working in concert. The entire supply chain is interlinked, with each participant playing a highly specialized role.
The Supply Chain Map: From Dreamers to Finishers
The Customer (The Dreamer): These are companies with a specific need that can only be met with a custom chip. For example, cloud giants like Google (TPU for AI), Amazon (Graviton for servers), and Microsoft (Maia for AI) need to build optimized hardware for their data centers. Or vertically integrated giants like Apple, who design their A-series and M-series chips to create a unique user experience and control their product's destiny.
The Design House (The Architect): These are the companies that actually execute the chip design.
Fabless Giants: Large companies like Broadcom, NVIDIA, and MediaTek design and sell their own branded chips (often ASICs for specific markets) but outsource manufacturing.
ASIC Design Service Companies: Specialized firms like Alchip-KY, Global Unichip Corp (GUC), and Faraday Technology. Their core business is designing custom chips for other companies—they are the "mercenary architects" of the silicon world.
The Enablers (Tool & Blueprint Providers): The key players providing the foundational tools and building blocks.
IP Vendors: Arm is crucial in this space. They don't sell chips; they sell licenses (the blueprints) to use their processor designs. This allows other companies to build upon a proven, standardized architecture.
EDA Vendors: The "big three"—Synopsys, Cadence, and Siemens EDA—form an oligopoly, providing the essential software tools for designing, verifying, and testing chips. Without them, modern ASIC design would be impossible.
The Manufacturer (The Foundry): The companies that own the multi-billion dollar fabrication plants (Fabs).
TSMC is the undisputed leader in this field, especially in advanced process nodes. Other major players include Samsung, Intel (a newcomer to the foundry space), and UMC. They are the "builders" who turn GDSII files into physical silicon wafers.
The OSAT (The Finisher): The last mile of the supply chain.
Companies like ASE and Amkor take the finished wafers from the foundry, cut them into individual chips, package them (the black casing we see), and perform the final testing.
Key Players in the ASIC Supply Chain
The following table clearly shows the main players in this complex ecosystem and their roles, highlighting the high market concentration in specific segments like foundries and EDA.
Supply Chain Segment | Role (What They Do) | Key Global Players (Who They Are) |
Customer | Commissions custom chips to gain a specific product advantage. | Apple, Google, Amazon, Microsoft, Meta, Automakers |
Design House | Plans and implements the chip's logical and physical layout. | Fabless: Broadcom, NVIDIA, MediaTek Design Services: Alchip-KY, GUC, Faraday |
IP Vendor | Licenses pre-designed functional blocks (e.g., CPU cores). | Arm, Synopsys, Cadence, VeriSilicon |
EDA Vendor | Provides the necessary software tools for the entire design flow. | Synopsys, Cadence, Siemens EDA |
Foundry | Physically manufactures the chips on silicon wafers. | TSMC, Samsung, Intel Foundry, UMC, GlobalFoundries |
OSAT (Outsourced Assembly & Test) | Assembles, packages, and performs final testing of the chips. | ASE, Amkor, JCET |
This ecosystem is built on a foundation of "symbiotic oligopoly." Each segment of the supply chain is dominated by a few key players, such as TSMC in foundries, Arm in mobile IP, and Synopsys and Cadence in EDA. This is no coincidence; it's the result of enormous R&D investment, capital expenditure, and specialized knowledge creating extremely high barriers to entry. These giants not only dominate but are also deeply interdependent. The success of an Apple chip depends on Arm's IP license, Synopsys and Cadence's EDA tools, and TSMC's manufacturing process. This creates a stable but rigid ecosystem with very high switching costs, making partnerships and co-optimization between different layers (like the close collaboration between GUC and TSMC) a critical competitive advantage.
The New Frontier—Trends Shaping the Future of Custom Chips
The world of ASICs is evolving at an unprecedented pace, driven by several powerful trends.
The Rise of the Giants: Why Tech Titans Are Making Their Own Chips
For cloud service providers (like Google, Amazon) and vertically integrated companies (like Apple), standard off-the-shelf chips can no longer satisfy their ambitions. To win the race in AI, cloud computing, and consumer electronics, they need hardware that is perfectly tailored to their own software and services.
Case Study: Google's TPU: Google's Tensor Processing Unit (TPU) is an ASIC designed specifically to accelerate its TensorFlow AI framework. It provides a massive cost-performance and power-efficiency advantage for AI training and inference within Google Cloud, giving it an edge over competitors who rely on general-purpose GPUs. Google's decision not to sell TPUs on a large scale indicates they view it as a strategic internal asset, not a commodity.
Case Study: Apple Silicon: Apple's shift from Intel CPUs to its self-designed M-series ASICs is a masterclass in vertical integration. By controlling the chip design, they can co-optimize the hardware and software (macOS/iOS), creating superior performance and battery life that competitors find difficult to replicate.
Building with LEGOs: The Chiplet Revolution
As chips become larger and more complex, a single tiny defect can ruin an entire wafer, causing yields to plummet. This is the dilemma of the "monolithic chip." To overcome this, the industry is shifting to a modular design approach using "chiplets." A complex system is broken down into multiple smaller, modular dies, which are then assembled in the same package.
The advantages of this approach are clear:
Higher Yield and Lower Cost: It's far easier and cheaper to manufacture several small, perfect chiplets than one giant, perfect monolithic chip.
Heterogeneous Integration: Designers can "mix and match" chiplets made with different process technologies. For example, a high-performance CPU core built on an advanced 3nm process can be integrated in the same package with an I/O controller built on a cheaper, older 22nm process. This offers huge advantages in cost and flexibility.
Faster Time-to-Market: Companies can reuse the same chiplet modules across different products, shortening design cycles.
AI Designing AI: The Ultimate Feedback Loop
The design space for modern chips is so vast that manually finding the optimal balance of power, performance, and area (PPA) is beyond human capability. EDA companies are integrating AI technology (especially reinforcement learning) directly into their tools. These AIs can autonomously explore millions of design options (like floorplans or logic synthesis choices) to find solutions that are better than what human engineers can achieve, and in a fraction of the time.
This is a paradigm shift. AI is no longer just an application for ASICs; it's becoming a fundamental tool for creating them. It helps automate repetitive tasks, allowing engineers to focus on higher-level architectural design and making the design of ultra-complex chips possible.
The Quantum Leap to 2nm: Challenging the Laws of Physics
Moore's Law is slowing down. The performance gains and power reductions from moving to more advanced process nodes (like 3nm and 2nm) are not as significant as they once were. This is due to immense challenges:
Physical Challenges: At such tiny scales, quantum effects, process variability, and heat dissipation become enormous problems. New transistor architectures, like Gate-All-Around (GAA), are becoming necessary.
Economic Challenges: Building a fab capable of producing 2nm chips costs tens of billions of dollars, and the cost of designing a 2nm chip is equally astronomical. Only applications with massive market scale and a clear return on investment (like high-end smartphones and AI accelerators) can justify such costs.
This means the extreme cost and complexity of advanced nodes will further concentrate power in the hands of a few foundries (like TSMC) and a few large customers (like Apple and NVIDIA), reinforcing the ecosystem dynamics discussed in the third section.
These four trends are not independent; they form a powerful, self-reinforcing cycle of innovation. The demand for more powerful AI (Trend 1) pushes the limits of monolithic chip performance, making the Chiplet architecture (Trend 2) necessary. The complexity of designing these intricate multi-chiplet systems (Trends 2 & 4) in turn requires AI-driven EDA tools (Trend 3) to be feasible. Finally, the enormous cost of developing at the cutting edge (Trend 4) can only be justified by applications like AI that offer huge market and performance returns (Trend 1). This cycle is accelerating the pace of innovation in the semiconductor industry and widening the gap between leaders and followers.
Conclusion: The Inevitable Age of Customization
In summary, ASICs represent the pinnacle of silicon specialization, trading flexibility for unparalleled performance and efficiency. This specialization is made possible entirely by a complex, collaborative, and highly concentrated global ecosystem.
In a world increasingly dominated by specific, demanding workloads like AI, the era of "one-size-fits-all" general-purpose computing is gradually giving way to the age of custom silicon. The ASIC, once a niche product, has now taken center stage in the theater of technological competition and innovation. The entry of tech giants into chip design, the modular revolution of chiplets, AI-driven design flows, and the relentless push against physical limits are collectively drawing the blueprint for the next decade. The future of technology will be built on these custom-tailored super-brains.
參考資料
www.ansys.com, https://www.ansys.com/zh-tw/simulation-topics/what-is-asic-design#:~:text=ASIC%20%E6%98%AF%E7%89%B9%E5%AE%9A%E6%87%89%E7%94%A8%E7%9A%84,%E9%9B%BB%E8%B7%AF%E9%9C%80%E6%B1%82%E9%99%8D%E8%87%B3%E6%9C%80%E4%BD%8E%E3%80%82
什么是ASIC应用特定集成电路 - CSDN博客, https://blog.csdn.net/limengshi138392/article/details/141726668
哪个网工还分不清CPU、GPU、ASIC和FPGA? 原创 - CSDN博客, https://blog.csdn.net/SPOTO2021/article/details/143504573
三种自动驾驶主流架构方案对比(GPU、FPGA、ASIC) - 宸优创芯, https://www.cycxic.com/p/7d2a774bc6111.html
FPGA、ASIC、GPU,谁是最合适的AI芯片? - 虎嗅, https://m.huxiu.com/article/2510954.html
www.quora.com, https://www.quora.com/What-is-the-difference-between-FPGA-and-ASIC-design-in-VLSI#:~:text=ASIC's%20are%20customised%20for%20a,when%20the%20volume%20is%20less.
Difference between FPGA and ASIC - GeeksforGeeks, https://www.geeksforgeeks.org/software-engineering/fpga-vs-asic/
ASIC vs. FPGA: What's the difference? | ASIC North Inc, https://www.asicnorth.com/blog/asic-vs-fpga-difference/
FPGA vs. Microcontroller vs. ASIC - Nandland, https://nandland.com/lesson-2-fpga-vs-micro-vs-asic/
ASIC vs FPGA: A Comparison of Hardware Solutions, https://lembergsolutions.com/blog/asic-vs-fpga-comparison-hardware-solutions
ASIC 設計流程:ASIC 設計是什麼?| Ansys, https://www.ansys.com/zh-tw/simulation-topics/what-is-asic-design
ASIC设计流程:什么是ASIC设计? - Ansys, https://www.ansys.com/zh-cn/simulation-topics/what-is-asic-design
ASIC是什麼?ASIC概念股有哪些?客製化的AI晶片「ASIC」解密 - 數位時代, https://www.bnext.com.tw/article/80157/asic-design-service-explanation
Non-recurring engineering - Wikipedia, https://en.wikipedia.org/wiki/Non-recurring_engineering
Explaining NRE's - Tekmos' Blog, https://www.tekmos.com/about/blog/explaining-nre-s
hdl - How much does it cost to have a custom ASIC made ..., https://electronics.stackexchange.com/questions/7042/how-much-does-it-cost-to-have-a-custom-asic-made
Part II CST SoC D/M Slide Pack 3 (Design Partition): ASIC Costs: RE and NRE., https://www.cl.cam.ac.uk/~djg11/socdam-patterns-hls-touchstones/soc-design-patterns/sp3-partition/zhp7fd3cc4d5.html
【專題研究】矽智財-科技躍進的關鍵資源-20220610 - PressPlay, https://www.pressplay.cc/project/C35C4E040F83E3B15643CA8D09B0EC77/articles/F55E6813624193838887F6995084613A
Arm 安謀是做什麼的?Arm 股票可以買嗎?業務介紹、估值與優缺點分析, https://finguider.cc/Article/ArticleIndex/1748
ASIC Project Cost, https://www.eng.auburn.edu/~nelson/courses/elec5250_6250/slides/Lecture%202%20-%20ASIC%20Cost.pdf
How Synopsys and Cadence are fueling the semiconductor industry's growth engine, https://www.wing.vc/content/how-synopsys-and-cadence-are-fueling-the-semiconductor-industrys-growth-engine
Tensor Processing Units (TPUs) - Google Cloud, https://cloud.google.com/tpu
Apple's Plan To Dominate Silicon - Moor Insights & Strategy, https://moorinsightsstrategy.com/apples-plan-to-dominate-silicon/
FPGA vs. ASIC: choosing the right technology for your project - reflex ces, https://www.reflexces.com/newsroom/fpga-vs-asic-choosing-the-right-technology-for-your-project
Application-Specific Integrated Circuit (ASIC) Miner - Investopedia, https://www.investopedia.com/terms/a/asic.asp
Application-Specific Integrated Circuit (ASIC) - River, https://river.com/learn/terms/a/application-specific-integrated-circuit-asic/
ASIC - Bitcoin Wiki, https://en.bitcoin.it/wiki/ASIC
What are Application-Specific Integrated Circuits? (ASICs) - Bitstamp, https://www.bitstamp.net/learn/blockchain/what-are-application-specific-integrated-circuits-asics/
ASIC vs GPU:需要考慮的主要區別有哪些 - Bitdeer, https://www.bitdeer.com/zhtw/learn/asic-vs-gpu-what-are-the-main-differences-to-consider
IC前端和后端设计的区别 - 拓驰猎头, http://www.touchhr.com/article/view/id/10094.html
IC设计的前端和后端是如何区分的? 原创 - CSDN博客, https://blog.csdn.net/nuoweishizi/article/details/135496774
IC设计前端到后端的流程和eda工具。 - mfrbuaa - 博客园, https://www.cnblogs.com/mfrbuaa/p/4198688.html
IC后端设计流程,看完就清楚了…--来自EDN电子技术设计的文章 - 行家说, https://www.hangjianet.com/topic/14906102728360000
荐书|数字IC后端工程师推荐用书! - IC行业知识分享 - E课网, https://www.eecourse.com/article/631
ASIC Design: A Step-by-Step Guide from Specification to Silicon, https://www.wevolver.com/article/the-ultimate-guide-to-asic-design-from-concept-to-production
ASIC Design Flow – The Ultimate Guide - AnySilicon, https://anysilicon.com/asic-design-flow-ultimate-guide/
Tutorial 1 - Introduction to ASIC Design Methodology, http://www.ece.virginia.edu/~mrs8n/soc/SynthesisTutorials/NCSU-asic.pdf
Tutorial 6: ASIC Front-End Flow - ECE 6745 Complex Digital ASIC Design, https://cornell-ece6745.github.io/ece6745-docs/ece6745-tut06-asic-front-end/
VLSI Design Flow - GeeksforGeeks, https://www.geeksforgeeks.org/electronics-engineering/vlsi-design-flow/
How Google Cloud TPUs Solved the AI Bottleneck and Transformed IT - Kartaca, https://kartaca.com/en/how-google-cloud-tpus-solved-the-ai-bottleneck-and-transformed-it/
Apple silicon - Wikipedia, https://en.wikipedia.org/wiki/Apple_silicon
全球IC设计公司(fabless)最新排名- 集成电路,IC,芯片设计 - E课网, https://www.eecourse.com/article/361
無廠半導體公司- 維基百科, https://zh.wikipedia.org/zh-tw/%E7%84%A1%E5%BB%A0%E5%8D%8A%E5%B0%8E%E9%AB%94%E5%85%AC%E5%8F%B8
[News] China Revenue at Risk as U.S. Curbs Slam EDA Giants: Impact on Synopsys, Cadence and More | TrendForce, https://www.trendforce.com/news/2025/06/02/news-china-revenue-at-risk-as-u-s-curbs-slam-eda-giants-impact-on-synopsys-cadence-and-more/
Taking Stock of the EDA Industry - Embedded, https://www.embedded.com/taking-stock-of-the-eda-industry/
Q1全球前5大晶圓代工業者排名出爐兩台廠入列台積穩居市占龍頭 - 工商時報, https://www.ctee.com.tw/news/20250610700066-439901
全球十大芯片代工厂最新排名中芯国际冲击世界第二三星亚军地位恐不保 - cnBeta.COM, https://www.cnbeta.com.tw/articles/tech/1506224.htm
全球前十大晶圓代工最新排名出爐:台積電第一中芯國際擠進第五 - 香港01, https://www.hk01.com/%E5%8F%B0%E7%81%A3%E6%96%B0%E8%81%9E/969710/%E5%85%A8%E7%90%83%E5%89%8D%E5%8D%81%E5%A4%A7%E6%99%B6%E5%9C%93%E4%BB%A3%E5%B7%A5%E6%9C%80%E6%96%B0%E6%8E%92%E5%90%8D%E5%87%BA%E7%88%90-%E5%8F%B0%E7%A9%8D%E9%9B%BB%E7%AC%AC%E4%B8%80-%E4%B8%AD%E8%8A%AF%E5%9C%8B%E9%9A%9B%E6%93%A0%E9%80%B2%E7%AC%AC%E4%BA%94
2024全球十大封测厂排名:日月光第一,长电科技第三,通富微电第四, https://www.icsmart.cn/91794/
《科技》封測營收前十大日月光占45%領先、陸廠具威脅 - 翻爆, https://turnnewsapp.com/livenews/finance/20250513003152-260410
全球封測前十大4台廠入列日月光市占率44.6%居冠 - 工商時報, https://www.ctee.com.tw/news/20250514700175-439901
TPU Deep Dive, https://henryhmko.github.io/posts/tpu/tpu.html
TPU Deep Dive - Hacker News, https://news.ycombinator.com/item?id=44342977
What is a Chiplet? A Technology That Will Change the Structure of the Semiconductor Industry! | Report Series | Telescope Magazine - Tokyo Electron Ltd., https://www.tel.com/museum/magazine/report/202304_01/
How Do Chiplets Work? - Synopsys, https://www.synopsys.com/glossary/what-are-chiplets.html
Chiplet - Wikipedia, https://en.wikipedia.org/wiki/Chiplet
All About Chiplet Technology | Advanced PCB Design Blog | Cadence, https://resources.pcb.cadence.com/blog/2023-all-about-chiplet-technology
What is AI Chip Design? – How it Works - Synopsys, https://www.synopsys.com/glossary/what-is-ai-chip-design.html
How AI Will Impact Chip Design And Designers - Semiconductor Engineering, https://semiengineering.com/how-ai-will-impact-chip-design-and-designers/
AI Chip Design – AI-Driven EDA Solutions | Synopsys, https://www.synopsys.com/ai/ai-powered-eda.html
Siemens turbocharges semiconductor and PCB design portfolio with generative and agentic AI, https://newsroom.sw.siemens.com/en-US/siemens-eda-ai-dac-2025/
3 nm process - Wikipedia, https://en.wikipedia.org/wiki/3_nm_process
Design Challenges in Single-Digit Technology Nodes - AnySilicon, https://anysilicon.com/design-challenges-in-single-digit-technology-nodes/
TSMC's 2nm Venture: A Testament to Innovation Amid Complexity - Astute Group, https://www.astutegroup.com/news/industrial/tsmcs-2nm-venture-a-testament-to-innovation-amid-complexity/
2024中国IC设计Fabless 100榜单公布,涵盖3项综合实力指数、10大技术类别企业- 我爱音频网, https://www.52audio.com/archives/195742.html
The State Of The EDA Industry In 2024 - Semiconductor Engineering, https://semiengineering.com/the-state-of-the-eda-industry-in-2024/
全球前十大封测厂排名出炉,合计营收年增3% - 澎湃新闻, https://m.thepaper.cn/newsDetail_forward_30810086




