top of page

晶片巨頭終局之戰:台積電、三星、Intel 最新技術全面對標

  • 作家相片: Sonya
    Sonya
  • 5月13日
  • 讀畢需時 10 分鐘


GAA、2奈米、先進封裝,誰將引領下世代半導體革命?


我們身處一個由晶片驅動的時代,從口袋裡的智慧手機、辦公桌上的個人電腦,到遠在雲端的龐大資料中心,乃至於掀起全球熱潮的人工智慧(AI),其核心都離不開那片小小的、卻蘊藏無窮力量的半導體晶片。對更高運算效能、更低功耗的追求永無止境,然而,引領半導體產業數十載的摩爾定律,其著名的「晶片上電晶體數量每18至24個月翻倍」的預言,正遭遇前所未有的物理極限挑戰。當傳統的微縮之路越走越窄,全球半導體產業的三大巨頭——台灣的台積電(TSMC)、韓國的三星(Samsung),以及美國的英特爾(Intel)——正以前所未有的決心與創新,開闢新的戰場。這不僅是一場技術的競賽,更是對未來科技版圖主導權的爭奪。本文將帶您深入這場煙硝味十足的技術對決,解析三雄在最新製程節點、關鍵電晶體架構與先進封裝技術上的策略佈局,並展望它們如何共同塑造我們未來的科技生活。



晶片巨頭的技術競賽:為何先進製程與封裝如此重要?


為何全球頂尖科技公司願意斥巨資投入先進製程與封裝技術的研發?答案很簡單:需求。AI 的爆發性成長,特別是大型語言模型(LLMs)如 ChatGPT 等,對算力提出了近乎貪婪的需求;高效能運算(HPC)在科學研究、氣候模擬、金融建模等領域扮演關鍵角色;而消費者對更輕薄、更持久、更智慧的行動裝置的期待也從未停歇。這些應用都仰賴更先進的晶片,它們需要在更小的面積上整合更多的電晶體,同時達成更高的效能(Performance)、更低的功耗(Power),以及更優化的成本與面積(Area),這就是業界常說的 PPA。


然而,當電晶體尺寸逼近原子級別,傳統的微縮方法效益遞減,漏電、散熱等問題日益嚴重。此時,兩大技術方向成為延續半導體發展的關鍵支柱:一是電晶體結構的根本性革新,例如從鰭式場效電晶體(FinFET)轉向環繞式閘極(Gate-All-Around, GAA)結構;二是超越單一晶片微縮的思維,透過先進封裝技術,將不同功能、不同製程的「小晶片」(Chiplets)堆疊或並列整合,實現系統級的效能提升。這兩大方向,正是台積電、三星與英特爾當前技術競賽的核心焦點。



電晶體的終極型態?GAA 技術深入解析


想像一下,電晶體就像一個精密的水龍頭,閘極(Gate)就是控制水流(電流)的閥門。過去數十年,從傳統的平面型電晶體(Planar FET)演進到 FinFET,就像是把水管從平放變成立體鰭狀,讓閥門可以從三面包圍水管,對水流的控制更為精準,減少了漏水(漏電流)。然而,當水管做得越來越細,即使三面控制,也難免有些微滲漏。


於是,GAA 技術應運而生。GAA 的核心思想,就是讓閘極「環繞」整個導電通道,如同用手緊緊握住水管的每一面,提供近乎完美的電流控制。這種結構能最大程度減少漏電流,並在更低的電壓下工作,從而顯著提升能源效率。


  • GAA 的基本原理與優勢: GAA 電晶體通常採用奈米片(Nanosheet)或奈米線(Nanowire)作為電流通道。奈米片是扁平的片狀結構,閘極材料完全包裹住這些奈米片的上下左右四面。相較於 FinFET 只能從三面控制,GAA 的全方位環繞提供了更佳的靜電控制能力,能有效抑制困擾微縮的「短通道效應」。此外,工程師可以透過調整奈米片的寬度或數量,靈活地改變電晶體的驅動電流,賦予晶片設計更大的彈性。

  • 三巨頭的 GAA 方案:同中求異 雖然都朝著 GAA 的方向邁進,但三家公司在具體實現上各有特色:

    • 台積電的 Nanosheet: 台積電在其 N2(2奈米)製程節點將導入奈米片結構的 GAA 電晶體,並持續優化其效能與功耗表現。他們強調其 GAA 技術在功耗、效能和密度上的均衡進步。

    • 三星的 MBCFET (Multi-Bridge Channel FET): 三星是GAA技術的先行者,其 3奈米製程(SF3)就已採用基於奈米片改良的 MBCFET 架構。MBCFET透過加寬奈米片來增加驅動電流,並持續向 SF2(2奈米)、SF1.4(1.4奈米)等更先進節點推進。

    • Intel 的 RibbonFET: 英特爾則將其 GAA 技術命名為 RibbonFET,本質上也是奈米片結構,計劃在 Intel 20A(相當於2奈米)節點首次亮相。Intel 強調 RibbonFET 能提供更快的電晶體開關速度和更高的驅動電流。


製程節點的數字遊戲與真實挑戰:2 奈米及以下世代


半導體製程節點的命名,例如 7奈米、5奈米、3奈米,長期以來是衡量技術先進程度的指標。然而,隨著技術發展,這些數字與電晶體的實際物理尺寸(如閘極長度)的關聯性已逐漸脫鉤,更多時候成為一種行銷代號或同一代技術演進的標記。真正的挑戰在於如何在極小的尺度上,持續提升電晶體密度、效能並降低功耗。


  • 台積電:穩紮穩打,追求全面領先 台積電在 FinFET 世代取得了巨大成功,其 N3 製程家族(N3E、N3P、N3X、N3AE)透過持續優化,為客戶提供了多樣化的選擇。其 N2 世代將是轉換至 GAA 奈米片電晶體的關鍵一役,預計 2025 年下半年量產。N2 不僅帶來電晶體架構的革新,台積電也積極佈局背面供電網路(BSPDN)技術,並將在其後續的 N2P 或更先進的 A16(1.6奈米)節點導入,該技術被稱為 NanoFlex™,將與其奈米片電晶體協同工作,進一步提升晶片效能和密度。

  • 三星:積極進取,力圖彎道超車 三星在 GAA 技術上起步較早,其 SF3E(3奈米 GAA 早期版本)已實現量產,並持續推進至 SF3、SF2(預計 2025 年量產)及 SF1.4(預計 2027 年量產)節點。三星的 MBCFET 架構是其 GAA 發展的核心,同時三星也宣稱將在其 2奈米級別的 SF2P 製程中導入 BSPDN 技術,期望透過技術的快速迭代來爭取更多高階客戶。

  • Intel:重振雄風,四年五節點的豪賭 曾經的半導體霸主英特爾,在經歷了幾代製程的延遲後,正全力執行其「四年五個製程節點」的追趕計畫。從 Intel 7(10奈米增強版)、Intel 4(7奈米 EUV)、Intel 3,到已於 2024 年下半年開始量產的 Intel 20A,首次採用 RibbonFET(GAA)和 PowerVia(其 BSPDN 技術)兩大創新。緊隨其後的將是預計 2025 上半年的 Intel 18A,目標是重回製程技術的領先地位。PowerVia 將供電網路移至晶圓背面,直接為電晶體供電,能有效減少正面訊號線路的擁擠,降低電阻電容延遲,被視為 Intel 重奪優勢的關鍵武器之一。



超越摩爾定律的關鍵:先進封裝技術對決


當單一晶片的微縮越來越困難且昂貴時,「不如換個思路,把多個小晶片(Chiplets)巧妙地組合起來」,先進封裝技術應運而生。Chiplet 概念如同科技界的樂高積木,允許將不同功能(如 CPU、GPU、I/O 單元、記憶體)、甚至不同製程製造的裸晶(die),透過先進的封裝技術整合在同一基板或中介層(interposer)上,形成一個功能強大的系統級封裝(SiP)。這種異質整合不僅能提升效能、降低成本,還能加速產品上市時程。


  • 台積電:CoWoS 家族獨領風騷,SoIC 蓄勢待發 台積電的 CoWoS(Chip on Wafer on Substrate)技術是其在先進封裝領域的王牌,特別是在 AI 加速器和 HPC 領域,幾乎成為高端 GPU 整合高頻寬記憶體(HBM)的標準。CoWoS 發展出 CoWoS-S(矽中介層)、CoWoS-L(採 LSI 和 RDL 中介層)、CoWoS-R(採 RDL 中介層)等不同版本以滿足多樣化需求。此外,其 InFO(整合型扇出封裝)技術主要應用於行動處理器,而 SoIC(系統整合晶片)則是更先進的 3D 堆疊技術,能實現晶片對晶片的直接鍵合,提供更高的互連密度和效能。

  • 三星:記憶體優勢加持,X-Cube 挑戰 3D 堆疊 三星憑藉其在記憶體領域的領導地位,在先進封裝上也極具潛力。其 I-Cube 技術類似於台積電的 CoWoS,用於整合邏輯晶片與 HBM。而 X-Cube 則是其 3D IC 封裝技術,透過矽穿孔(TSV)實現多個晶片的垂直堆疊,能大幅縮短互連長度,提升頻寬並降低延遲。SAINT(Samsung Advanced Interconnect Technology)系列則涵蓋了其多樣化的 2.5D 和 3D 封裝解決方案。

  • Intel:Foveros 與 EMIB 雙劍合璧,玻璃基板著眼未來 英特爾的先進封裝技術以 Foveros 和 EMIB 為兩大支柱。Foveros 是其核心的 3D 晶片堆疊技術,已應用於其 Lakefield 和 Ponte Vecchio 等產品中,允許將不同製程、不同功能的 Chiplet 直接堆疊。EMIB(嵌入式多晶片互連橋接)則是一種 2.5D 封裝技術,透過在基板內嵌入小型矽橋來連接不同的 Chiplet,提供高頻寬互連。Intel 還積極研發玻璃基板等下一代封裝材料,以應對未來更複雜的整合需求。





技術比較與優劣勢分析


為了更清晰地呈現三巨頭的技術佈局,下表進行了簡要對比:


表格一:三大半導體廠先進製程與關鍵技術對比 (預計 2024-2026 動態)

特性

台積電 (TSMC)

三星 (Samsung Foundry)

英特爾 (Intel Foundry)

主力/即將量產節點

N3P, N3X (FinFET);N2 (GAA Nanosheet)

SF3, SF2 (GAA MBCFET)

Intel 3 (FinFET);20A (GAA RibbonFET + PowerVia)

下世代關鍵節點

N2P, A16 (GAA + BSPDN)

SF1.4 (GAA MBCFET + BSPDN)

18A (GAA RibbonFET + PowerVia), 14A

GAA 電晶體技術

奈米片 (Nanosheet)

多橋通道場效電晶體 (MBCFET)

RibbonFET

背面供電技術

NanoFlex™ 支援的 BSPDN (N2P/A16 導入)

BSPDN (SF2 後續版本或 SF1.4 導入)

PowerVia (20A 開始導入)

先進封裝主力

CoWoS 系列, InFO, SoIC (Chip on Wafer)

I-Cube, X-Cube (3D IC), SAINT 系列

Foveros, EMIB, Co-EMIB

技術成熟度/生態系

極高,客戶群廣泛,設計服務完整

快速追趕,記憶體整合優勢,積極擴展客戶

IDM 2.0 轉型中,美國本土製造優勢,積極爭取外部訂單

量產時程 (GAA)

N2 預計 2025 下半年

SF3 已量產,SF2 預計 2025

20A 已於2024下半年開始,18A 預計 2025 上半年



GAA 電晶體作為下一代電晶體的主流架構,相較於目前的 FinFET 技術,其優勢顯著,這也是各大廠家不遺餘力投入研發的原因:


表格二:GAA 電晶體相較於 FinFET 之優勢

特性比較

FinFET (鰭式場效電晶體)

GAA (環繞式閘極電晶體)

說明

閘極控制能力

三面環繞

四面或多面環繞 (Nanosheet/Nanowire)

GAA 提供更全面的通道控制,有效抑制短通道效應

漏電流

相對較高 (隨尺寸微縮問題更嚴重)

更低

更佳的靜電特性減少漏電,有助於降低功耗

通道寬度調整

固定 (依鰭片數量)

可調 (依奈米片寬度與數量)

GAA 提供設計彈性,可依需求調整驅動電流

性能/功耗比

更優

在相同功耗下可有更高性能,或在相同性能下功耗更低

微縮潛力

3奈米以下遭遇瓶頸

被視為延續摩爾定律至 1 奈米世代甚至更低 的關鍵技術

更能抵抗微縮帶來的物理限制



製造或實作挑戰與研究突破


儘管前景光明,但 GAA 電晶體、背面供電與先進封裝的實現都伴隨著巨大的技術挑戰:


  • GAA 製程的複雜度: 奈米片的精確生長、堆疊、蝕刻以及閘極材料的均勻沉積,對製程控制提出了極高要求,任何微小的偏差都可能影響良率與效能。

  • 背面供電網路的整合: 在晶圓背面製作供電網路並精確對準正面的電晶體,涉及到全新的製程流程與材料科學,同時還需解決散熱問題。

  • 先進封裝的挑戰: Chiplet 間的高密度互連、不同材料間的熱膨脹係數匹配、堆疊後的散熱管理、以及複雜系統的測試,都是亟待克服的難題。

  • EUV 微影技術的極限: 目前主流的 EUV(極紫外光)微影技術在更小節點上面臨解析度極限,下一代 High-NA EUV 設備雖然能提供更高解析度,但其成本高昂且導入時程與生態系成熟度仍是考量。


為克服這些挑戰,三大廠及相關設備材料供應商正投入大量研發資源,從新材料的探索(如二維材料 MoS₂)、製程設備的改進,到模擬與設計工具的升級,無不在尋求突破。



應用場景與市場潛力


這些尖端技術的最終目標是服務於廣泛的應用市場:


  • AI 加速器與 HPC: 這是目前對先進製程和封裝需求最迫切的領域。GAA 帶來的高效能與低功耗,結合先進封裝實現的 HBM 高頻寬整合,是驅動下一代 AI 模型訓練和推論、以及複雜科學計算的關鍵。

  • 高階智慧手機 AP 與 PC CPU/GPU: 消費者對行動裝置和個人電腦的性能要求不斷提升,更先進的製程能帶來更快的處理速度、更流暢的圖形體驗以及更長的電池續航。

  • 汽車電子與物聯網: 隨著汽車智慧化、自動駕駛技術的發展,以及萬物互聯時代的到來,對高效能、高可靠性晶片的需求也日益增長,為半導體產業帶來新的成長動能。



未來發展趨勢與技術展望


展望未來,半導體技術的演進仍將充滿變數與驚喜:


  • 超越 GAA 的電晶體架構: 科學家們已在探索如 CFET(互補式場效電晶體,將 nFET 和 pFET 垂直堆疊)、二維材料電晶體等更具革命性的結構,以期突破 1 奈米以下的物理極限。

  • 新材料的導入: 除了傳統的矽基材料,如碳奈米管、石墨烯、過渡金屬硫化物(TMDCs)等新材料的研究,有望為半導體帶來新的特性與可能性。

  • 光學互連與晶片上網路: 隨著晶片內核數量增多和頻寬需求提升,傳統電氣互連可能面臨瓶頸,光學互連被視為解決方案之一。晶片上網路(NoC)的設計也將更為複雜和智慧化。

  • 競合關係與地緣政治: 三大巨頭之間的競爭將持續激烈,但合作與生態系建構也同等重要。同時,半導體作為戰略性產業,其發展深受地緣政治因素影響,各國政府對本土供應鏈的扶植也將重塑全球產業格局。



結論


台積電、三星與英特爾在先進製程、GAA 電晶體與先進封裝技術上的軍備競賽,是一場關乎未來數十年科技走向的關鍵戰役。這不僅是 PPA 指標的較量、良率的拼搏,更是創新思維、執行能力與生態系力量的全面比拚。台積電憑藉其深厚的技術積累、龐大的客戶基礎和完善的生態系,持續穩坐晶圓代工龍頭;三星則以其在記憶體和 GAA 技術上的早期投入,力圖在先進節點上取得突破;而英特爾則挾其 IDM 2.0 戰略和技術革新的決心,誓言重返巔峰。

不論最終誰能取得階段性的領先,這場高強度的競爭無疑將加速半導體技術的迭代,突破現有的瓶頸,為人工智慧、高效能運算、智慧互聯等前沿領域的發展注入強勁動力。對於整個科技產業乃至每一位消費者而言,這場發生在微觀世界的巔峰對決,都將帶來更智慧、更便捷、更充滿想像的未來。


您認為台積電、三星、和 Intel 誰能在下一輪的晶片大戰中拔得頭籌?這三巨頭的技術路線,哪一個更讓您看好?

Subscribe to AmiNext Newsletter

Thanks for submitting!

  • LinkedIn
  • Facebook

© 2024 by AmiNext 金融與科技筆記

bottom of page